read_verilog memory_01.v hierarchy -check -top test proc;; memory; opt