/* * yosys -- Yosys Open SYnthesis Suite * * Copyright (C) 2012 Clifford Wolf * * Permission to use, copy, modify, and/or distribute this software for any * purpose with or without fee is hereby granted, provided that the above * copyright notice and this permission notice appear in all copies. * * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. * * --- * * The internal logic cell simulation library. * * This Verilog library contains simple simulation models for the internal * logic cells ($_NOT_ , $_AND_ , ...) that are generated by the default technology * mapper (see "techmap.v" in this directory) and expected by the "abc" pass. * */ module \$_BUF_ (A, Y); input A; output Y; assign Y = A; endmodule module \$_NOT_ (A, Y); input A; output Y; assign Y = ~A; endmodule module \$_AND_ (A, B, Y); input A, B; output Y; assign Y = A & B; endmodule module \$_NAND_ (A, B, Y); input A, B; output Y; assign Y = ~(A & B); endmodule module \$_OR_ (A, B, Y); input A, B; output Y; assign Y = A | B; endmodule module \$_NOR_ (A, B, Y); input A, B; output Y; assign Y = ~(A | B); endmodule module \$_XOR_ (A, B, Y); input A, B; output Y; assign Y = A ^ B; endmodule module \$_XNOR_ (A, B, Y); input A, B; output Y; assign Y = ~(A ^ B); endmodule module \$_MUX_ (A, B, S, Y); input A, B, S; output Y; assign Y = S ? B : A; endmodule module \$_MUX4_ (A, B, C, D, S, T, Y); input A, B, C, D, S, T; output Y; assign Y = T ? (S ? D : C) : (S ? B : A); endmodule module \$_MUX8_ (A, B, C, D, E, F, G, H, S, T, U, Y); input A, B, C, D, E, F, G, H, S, T, U; output Y; assign Y = U ? T ? (S ? H : G) : (S ? F : E) : T ? (S ? D : C) : (S ? B : A); endmodule module \$_MUX16_ (A, B, C, D, E, F, G, H, I, J, K, L, M, N, O, P, S, T, U, V, Y); input A, B, C, D, E, F, G, H, I, J, K, L, M, N, O, P, S, T, U, V; output Y; assign Y = V ? U ? T ? (S ? P : O) : (S ? N : M) : T ? (S ? L : K) : (S ? J : I) : U ? T ? (S ? H : G) : (S ? F : E) : T ? (S ? D : C) : (S ? B : A); endmodule module \$_AOI3_ (A, B, C, Y); input A, B, C; output Y; assign Y = ~((A & B) | C); endmodule module \$_OAI3_ (A, B, C, Y); input A, B, C; output Y; assign Y = ~((A | B) & C); endmodule module \$_AOI4_ (A, B, C, D, Y); input A, B, C, D; output Y; assign Y = ~((A & B) | (C & D)); endmodule module \$_OAI4_ (A, B, C, D, Y); input A, B, C, D; output Y; assign Y = ~((A | B) & (C | D)); endmodule module \$_TBUF_ (A, E, Y); input A, E; output Y; assign Y = E ? A : 1'bz; endmodule module \$_SR_NN_ (S, R, Q); input S, R; output reg Q; always @(negedge S, negedge R) begin if (R == 0) Q <= 0; else if (S == 0) Q <= 1; end endmodule module \$_SR_NP_ (S, R, Q); input S, R; output reg Q; always @(negedge S, posedge R) begin if (R == 1) Q <= 0; else if (S == 0) Q <= 1; end endmodule module \$_SR_PN_ (S, R, Q); input S, R; output reg Q; always @(posedge S, negedge R) begin if (R == 0) Q <= 0; else if (S == 1) Q <= 1; end endmodule module \$_SR_PP_ (S, R, Q); input S, R; output reg Q; always @(posedge S, posedge R) begin if (R == 1) Q <= 0; else if (S == 1) Q <= 1; end endmodule module \$_DFF_N_ (D, Q, C); input D, C; output reg Q; always @(negedge C) begin Q <= D; end endmodule module \$_DFF_P_ (D, Q, C); input D, C; output reg Q; always @(posedge C) begin Q <= D; end endmodule module \$_DFFE_NN_ (D, Q, C, E); input D, C, E; output reg Q; always @(negedge C) begin if (!E) Q <= D; end endmodule module \$_DFFE_NP_ (D, Q, C, E); input D, C, E; output reg Q; always @(negedge C) begin if (E) Q <= D; end endmodule module \$_DFFE_PN_ (D, Q, C, E); input D, C, E; output reg Q; always @(posedge C) begin if (!E) Q <= D; end endmodule module \$_DFFE_PP_ (D, Q, C, E); input D, C, E; output reg Q; always @(posedge C) begin if (E) Q <= D; end endmodule module \$_DFF_NN0_ (D, Q, C, R); input D, C, R; output reg Q; always @(negedge C or negedge R) begin if (R == 0) Q <= 0; else Q <= D; end endmodule module \$_DFF_NN1_ (D, Q, C, R); input D, C, R; output reg Q; always @(negedge C or negedge R) begin if (R == 0) Q <= 1; else Q <= D; end endmodule module \$_DFF_NP0_ (D, Q, C, R); input D, C, R; output reg Q; always @(negedge C or posedge R) begin if (R == 1) Q <= 0; else Q <= D; end endmodule module \$_DFF_NP1_ (D, Q, C, R); input D, C, R; output reg Q; always @(negedge C or posedge R) begin if (R == 1) Q <= 1; else Q <= D; end endmodule module \$_DFF_PN0_ (D, Q, C, R); input D, C, R; output reg Q; always @(posedge C or negedge R) begin if (R == 0) Q <= 0; else Q <= D; end endmodule module \$_DFF_PN1_ (D, Q, C, R); input D, C, R; output reg Q; always @(posedge C or negedge R) begin if (R == 0) Q <= 1; else Q <= D; end endmodule module \$_DFF_PP0_ (D, Q, C, R); input D, C, R; output reg Q; always @(posedge C or posedge R) begin if (R == 1) Q <= 0; else Q <= D; end endmodule module \$_DFF_PP1_ (D, Q, C, R); input D, C, R; output reg Q; always @(posedge C or posedge R) begin if (R == 1) Q <= 1; else Q <= D; end endmodule module \$_DFFSR_NNN_ (C, S, R, D, Q); input C, S, R, D; output reg Q; always @(negedge C, negedge S, negedge R) begin if (R == 0) Q <= 0; else if (S == 0) Q <= 1; else Q <= D; end endmodule module \$_DFFSR_NNP_ (C, S, R, D, Q); input C, S, R, D; output reg Q; always @(negedge C, negedge S, posedge R) begin if (R == 1) Q <= 0; else if (S == 0) Q <= 1; else Q <= D; end endmodule module \$_DFFSR_NPN_ (C, S, R, D, Q); input C, S, R, D; output reg Q; always @(negedge C, posedge S, negedge R) begin if (R == 0) Q <= 0; else if (S == 1) Q <= 1; else Q <= D; end endmodule module \$_DFFSR_NPP_ (C, S, R, D, Q); input C, S, R, D; output reg Q; always @(negedge C, posedge S, posedge R) begin if (R == 1) Q <= 0; else if (S == 1) Q <= 1; else Q <= D; end endmodule module \$_DFFSR_PNN_ (C, S, R, D, Q); input C, S, R, D; output reg Q; always @(posedge C, negedge S, negedge R) begin if (R == 0) Q <= 0; else if (S == 0) Q <= 1; else Q <= D; end endmodule module \$_DFFSR_PNP_ (C, S, R, D, Q); input C, S, R, D; output reg Q; always @(posedge C, negedge S, posedge R) begin if (R == 1) Q <= 0; else if (S == 0) Q <= 1; else Q <= D; end endmodule module \$_DFFSR_PPN_ (C, S, R, D, Q); input C, S, R, D; output reg Q; always @(posedge C, posedge S, negedge R) begin if (R == 0) Q <= 0; else if (S == 1) Q <= 1; else Q <= D; end endmodule module \$_DFFSR_PPP_ (C, S, R, D, Q); input C, S, R, D; output reg Q; always @(posedge C, posedge S, posedge R) begin if (R == 1) Q <= 0; else if (S == 1) Q <= 1; else Q <= D; end endmodule module \$_DLATCH_N_ (E, D, Q); input E, D; output reg Q; always @* begin if (E == 0) Q <= D; end endmodule module \$_DLATCH_P_ (E, D, Q); input E, D; output reg Q; always @* begin if (E == 1) Q <= D; end endmodule module \$_DLATCHSR_NNN_ (E, S, R, D, Q); input E, S, R, D; output reg Q; always @* begin if (R == 0) Q <= 0; else if (S == 0) Q <= 1; else if (E == 0) Q <= D; end endmodule module \$_DLATCHSR_NNP_ (E, S, R, D, Q); input E, S, R, D; output reg Q; always @* begin if (R == 1) Q <= 0; else if (S == 0) Q <= 1; else if (E == 0) Q <= D; end endmodule module \$_DLATCHSR_NPN_ (E, S, R, D, Q); input E, S, R, D; output reg Q; always @* begin if (R == 0) Q <= 0; else if (S == 1) Q <= 1; else if (E == 0) Q <= D; end endmodule module \$_DLATCHSR_NPP_ (E, S, R, D, Q); input E, S, R, D; output reg Q; always @* begin if (R == 1) Q <= 0; else if (S == 1) Q <= 1; else if (E == 0) Q <= D; end endmodule module \$_DLATCHSR_PNN_ (E, S, R, D, Q); input E, S, R, D; output reg Q; always @* begin if (R == 0) Q <= 0; else if (S == 0) Q <= 1; else if (E == 1) Q <= D; end endmodule module \$_DLATCHSR_PNP_ (E, S, R, D, Q); input E, S, R, D; output reg Q; always @* begin if (R == 1) Q <= 0; else if (S == 0) Q <= 1; else if (E == 1) Q <= D; end endmodule module \$_DLATCHSR_PPN_ (E, S, R, D, Q); input E, S, R, D; output reg Q; always @* begin if (R == 0) Q <= 0; else if (S == 1) Q <= 1; else if (E == 1) Q <= D; end endmodule module \$_DLATCHSR_PPP_ (E, S, R, D, Q); input E, S, R, D; output reg Q; always @* begin if (R == 1) Q <= 0; else if (S == 1) Q <= 1; else if (E == 1) Q <= D; end endmodule