library(gp_dff) { cell(GP_DFF_NOSR) { area: 1; ff("IQ", "IQN") { clocked_on: CLK; next_state: D; } pin(CLK) { direction: input; clock: true; } pin(D) { direction: input; } pin(Q) { direction: output; function: "IQ"; } } cell(GP_DFF_SR) { area: 1; ff("IQ", "IQN") { clocked_on: CLK; next_state: D; preset: "nSETZ'"; clear: "nRSTZ'"; } pin(CLK) { direction: input; clock: true; } pin(D) { direction: input; } pin(Q) { direction: output; function: "IQ"; } pin(nRSTZ) { direction: input; } pin(nSETZ) { direction: input; } } }