read_verilog example.v synth_xilinx -edif example.edif -top example