read_verilog opt_lut.v synth_ice40 ice40_unlut equiv_opt -map +/ice40/cells_sim.v -assert opt_lut -dlogic SB_CARRY:I0=1:I1=2:CI=3