read_verilog -sv initval.v proc;; sat -seq 10 -prove-asserts