read_verilog -formal <