summaryrefslogtreecommitdiff
path: root/backends/simplec/test00_uut.v
blob: 92329a6f94c5ba793349ed3b8ccba9f8158d6421 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
module test(input [31:0] a, b, c, output [31:0] x, y, z, w);
  unit_x unit_x_inst (.a(a), .b(b), .c(c), .x(x));
  unit_y unit_y_inst (.a(a), .b(b), .c(c), .y(y));
  assign z = a ^ b ^ c, w = z;
endmodule

module unit_x(input [31:0] a, b, c, output [31:0] x);
  assign x = (a & b) | c;
endmodule

module unit_y(input [31:0] a, b, c, output [31:0] y);
  assign y = a & (b | c);
endmodule