summaryrefslogtreecommitdiff
path: root/backends/smt2/example.v
blob: b195266eb7e1344e837a33c1726b068f1a40254c (plain)
1
2
3
4
5
6
7
8
9
10
11
module main(input clk);
	reg [3:0] counter = 0;
	always @(posedge clk) begin
		if (counter == 10)
			counter <= 0;
		else
			counter <= counter + 1;
	end
	assert property (counter != 15);
	// assert property (counter <= 10);
endmodule