summaryrefslogtreecommitdiff
path: root/examples/anlogic/demo.v
blob: e17db771ea047113621efd27882fc514de2c4904 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
module demo (
    input wire CLK_IN,
    output wire R_LED
);
    parameter time1 = 30'd12_000_000;
    reg led_state;
    reg [29:0] count;

    always @(posedge CLK_IN)begin
        if(count == time1)begin
            count<= 30'd0;
            led_state <= ~led_state;
        end
        else
            count <= count + 1'b1;
    end
    assign R_LED = led_state;
endmodule