summaryrefslogtreecommitdiff
path: root/examples/basys3/example.xdc
blob: c1fd0e925ee9d745ab9bc031ecbd565f2ea7d2da (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21