summaryrefslogtreecommitdiff
path: root/examples/basys3/run_prog.tcl
blob: b078ad511131dcb1bc643f3b330370e29e8d0ba6 (plain)
1
2
3
4
5
open_hw
connect_hw_server
open_hw_target [lindex [get_hw_targets] 0]
set_property PROGRAM.FILE example.bit [lindex [get_hw_devices] 0]
program_hw_devices [lindex [get_hw_devices] 0]