summaryrefslogtreecommitdiff
path: root/examples/cmos/README
blob: c459b4b54aba486bdb7acf67729a498f13d93bf9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
In this directory contains an example for generating a spice output using two
different spice modes, normal analog transient simulation and event-driven
digital simulation as supported by ngspice xspice sub-module.

Each test bench can be run separately by either running:

- testbench.sh, to start analog simulation or
- testbench_digital.sh for mixed-signal digital simulation.

The later case also includes pure verilog simulation using the iverilog
and gtkwave for comparison.