summaryrefslogtreecommitdiff
path: root/examples/osu035/example.v
blob: 0f043e5fc1e3df4b779c59b80773f016d73a0dd1 (plain)
1
2
3
module top (input clk, input [7:0] a, b, output reg [15:0] c);
  always @(posedge clk) c <= a * b;
endmodule