summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_ExAdv/mulshift_test.v
blob: 4b975f414c2262fea69c00b47e1fd20a90a5a114 (plain)
1
2
3
4
5
module test (A, X, Y);
input [7:0] A;
output [7:0] X = A * 8'd 6;
output [7:0] Y = A * 8'd 8;
endmodule