summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_ExAdv/mymul_test.v
blob: 620a06d9e5314b2c0fc6d42c69bfc87274c1fcae (plain)
1
2
3
4
module test(A, B, Y);
    input  [1:0] A, B;
    output [1:0] Y = A * B;
endmodule