summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_ExAdv/sym_mul_cells.v
blob: ce1771544f6db2df97d6086b525d7bea4290b2e4 (plain)
1
2
3
4
5
6
module MYMUL(A, B, Y);
    parameter WIDTH = 1;
    input [WIDTH-1:0] A, B;
    output [WIDTH-1:0] Y;
    assign Y = A * B;
endmodule