summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_ExOth.tex
blob: 0a65edb9771b29cf79f7042cef243ec5851e293d (plain)
1
2
3
4
5
6
7
8
9
\section{Yosys by example -- Beyond Synthesis}

\begin{frame}
\sectionpage
\end{frame}

%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%