summaryrefslogtreecommitdiff
path: root/manual/PRESENTATION_ExSyn/memory_01.v
blob: 0a3f9acd71cfcda1aed07aa5aab94231637679ed (plain)
1
2
3
4
5
6
7
8
9
module test(input      CLK, ADDR,
            input      [7:0] DIN,
	    output reg [7:0] DOUT);
    reg [7:0] mem [0:1];
    always @(posedge CLK) begin
        mem[ADDR] <= DIN;
	DOUT <= mem[ADDR];
    end
endmodule