summaryrefslogtreecommitdiff
path: root/techlibs/common/dff2ff.v
blob: 2dc4d20d37d167b0d95f92622a60fccc8b780184 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
(* techmap_celltype = "$dff" *)
module dff2ff (CLK, D, Q);
	parameter WIDTH = 1;
	parameter CLK_POLARITY = 1;

	input CLK;
	input [WIDTH-1:0] D;
	output reg [WIDTH-1:0] Q;

	wire [1023:0] _TECHMAP_DO_ = "proc;;";

	always @($global_clock)
		Q <= D;
endmodule