summaryrefslogtreecommitdiff
path: root/techlibs/xilinx/example_basys3/README
blob: 85b6eab107b5e1ed4122b710d9c02e5c4a63e1d5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
A simple example design, based on the Digilent BASYS3 board
===========================================================

Running Yosys:
  yosys run_yosys.ys

Running Vivado:
  vivado -nolog -nojournal -mode batch -source run_vivado.tcl

Programming board:
  vivado -nolog -nojournal -mode batch -source run_prog.tcl

All of the above:
  bash run.sh