summaryrefslogtreecommitdiff
path: root/techlibs/xilinx/example_basys3/run.sh
blob: 10f059103053be59eaf6792a1776e60dca8d71ea (plain)
1
2
3
4
#!/bin/bash
yosys run_yosys.ys
vivado -nolog -nojournal -mode batch -source run_vivado.tcl
vivado -nolog -nojournal -mode batch -source run_prog.tcl