summaryrefslogtreecommitdiff
path: root/techlibs/xilinx/example_basys3/run_prog.tcl
blob: d711af840e5e38cb9010403d4777a6807ef354cf (plain)
1
2
3
4
connect_hw_server
open_hw_target [lindex [get_hw_targets] 0]
set_property PROGRAM.FILE example.bit [lindex [get_hw_devices] 0]
program_hw_devices [lindex [get_hw_devices] 0]