summaryrefslogtreecommitdiff
path: root/techlibs/xilinx/example_basys3/run_prog.tcl
blob: d711af840e5e38cb9010403d4777a6807ef354cf (plain)
1
2
3
4