summaryrefslogtreecommitdiff
path: root/techlibs/xilinx/example_basys3/run_yosys.ys
blob: 4541826d32e10d40f8327606ae73ed0d9746cf61 (plain)
1
2
read_verilog example.v
synth_xilinx -edif example.edif -top example