summaryrefslogtreecommitdiff
path: root/techlibs/xilinx/example_sim_counter/counter_tb.v
blob: b6b64269ed6e1f13e3fac9865c2cc5f48e38e379 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
`timescale  1 ns / 1 ps

module testbench;

reg clk, en, rst;
wire [3:0] count;

counter uut_counter(
	.clk(clk),
	.count(count),
	.en(en),
	.rst(rst)
);

initial begin
	clk <= 0;
	forever begin
		#50;
		clk <= ~clk;
	end
end

initial begin
	@(posedge clk);
	forever begin
		@(posedge clk);
		$display("%d", count);
	end
end

initial begin
	rst <= 1; en <= 0; @(posedge clk);
	rst <= 1; en <= 0; @(posedge clk);
	rst <= 0; en <= 0; @(posedge clk);
	rst <= 0; en <= 1; @(posedge clk);
	rst <= 0; en <= 1; @(posedge clk);
	rst <= 0; en <= 1; @(posedge clk);
	rst <= 0; en <= 0; @(posedge clk);
	rst <= 0; en <= 1; @(posedge clk);
	rst <= 0; en <= 1; @(posedge clk);
	rst <= 0; en <= 1; @(posedge clk);
	rst <= 1; en <= 1; @(posedge clk);
	rst <= 0; en <= 0; @(posedge clk);
	rst <= 0; en <= 1; @(posedge clk);
	rst <= 0; en <= 1; @(posedge clk);
	rst <= 0; en <= 0; @(posedge clk);
	rst <= 0; en <= 1; @(posedge clk);
	rst <= 0; en <= 1; @(posedge clk);
	rst <= 0; en <= 0; @(posedge clk);
	rst <= 1; en <= 0; @(posedge clk);
	rst <= 0; en <= 1; @(posedge clk);
	rst <= 0; en <= 0; @(posedge clk);
	rst <= 0; en <= 1; @(posedge clk);
	rst <= 0; en <= 1; @(posedge clk);
	rst <= 0; en <= 0; @(posedge clk);
	rst <= 0; en <= 1; @(posedge clk);
	rst <= 0; en <= 0; @(posedge clk);
	$finish;
end

endmodule