summaryrefslogtreecommitdiff
path: root/tests/asicworld/code_tidbits_nonblocking.v
blob: 4a0d365e0dae37851fd8f5e234878894d1f7b7d2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
module nonblocking (clk,a,c);
input clk;
input a;
output c;
 
wire clk;
wire a;
reg c;
reg b;
  
always @ (posedge clk )
begin
  b <= a;
  c <= b;
end
   
endmodule