summaryrefslogtreecommitdiff
path: root/tests/asicworld/code_tidbits_syn_reset.v
blob: 994771b16bb37ea563db835c45f8141a31a61fd5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
module syn_reset (clk,reset,a,c);
   input clk;
   input reset;
   input a; 
   output c; 

   wire clk;
   wire reset;   
   wire a;   
   reg c;
  
always @ (posedge clk )
  if ( reset == 1'b1) begin
    c <= 0;
  end else begin
    c <= a;
  end
 
endmodule