summaryrefslogtreecommitdiff
path: root/tests/asicworld/code_verilog_tutorial_if_else.v
blob: 19b91d3f2a2547879f4c5424ed3269702f95ffcf (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
module if_else();

reg dff;
wire clk,din,reset;

always @ (posedge clk)
if (reset) begin
  dff <= 0;
end else  begin
  dff <= din;
end

endmodule