summaryrefslogtreecommitdiff
path: root/tests/asicworld
ModeNameSize
-rw-r--r--.gitignore12logplain
-rw-r--r--README59logplain
-rw-r--r--code_hdl_models_GrayCounter.v1163logplain
-rw-r--r--code_hdl_models_arbiter.v3935logplain
-rw-r--r--code_hdl_models_arbiter_tb.v992logplain
-rw-r--r--code_hdl_models_cam.v1727logplain
-rw-r--r--code_hdl_models_clk_div.v986logplain
-rw-r--r--code_hdl_models_clk_div_45.v1437logplain
-rw-r--r--code_hdl_models_d_ff_gates.v349logplain
-rw-r--r--code_hdl_models_d_latch_gates.v182logplain
-rw-r--r--code_hdl_models_decoder_2to4_gates.v203logplain
-rw-r--r--code_hdl_models_decoder_using_assign.v582logplain
-rw-r--r--code_hdl_models_decoder_using_case.v1214logplain
-rw-r--r--code_hdl_models_dff_async_reset.v733logplain
-rw-r--r--code_hdl_models_dff_sync_reset.v711logplain
-rw-r--r--code_hdl_models_dlatch_reset.v712logplain
-rw-r--r--code_hdl_models_encoder_4to2_gates.v130logplain
-rw-r--r--code_hdl_models_encoder_using_case.v1145logplain
-rw-r--r--code_hdl_models_encoder_using_if.v1780logplain
-rw-r--r--code_hdl_models_full_adder_gates.v495logplain
-rw-r--r--code_hdl_models_full_subtracter_gates.v575logplain
-rw-r--r--code_hdl_models_gray_counter.v999logplain
-rw-r--r--code_hdl_models_half_adder_gates.v378logplain
-rw-r--r--code_hdl_models_lfsr.v965logplain
-rw-r--r--code_hdl_models_lfsr_updown.v790logplain
-rw-r--r--code_hdl_models_misc1.v305logplain
-rw-r--r--code_hdl_models_mux21_switch.v620logplain
-rw-r--r--code_hdl_models_mux_2to1_gates.v456logplain
-rw-r--r--code_hdl_models_mux_using_assign.v698logplain
-rw-r--r--code_hdl_models_mux_using_case.v775logplain
-rw-r--r--code_hdl_models_mux_using_if.v783logplain
-rw-r--r--code_hdl_models_nand_switch.v187logplain
-rw-r--r--code_hdl_models_one_hot_cnt.v813logplain
-rw-r--r--code_hdl_models_parallel_crc.v1675logplain
-rw-r--r--code_hdl_models_parity_using_assign.v644logplain
-rw-r--r--code_hdl_models_parity_using_bitwise.v457logplain
-rw-r--r--code_hdl_models_parity_using_function.v731logplain
-rw-r--r--code_hdl_models_pri_encoder_using_assign.v1350logplain
-rw-r--r--code_hdl_models_ram_sp_ar_sw.v1695logplain
-rw-r--r--code_hdl_models_ram_sp_sr_sw.v1666logplain
-rw-r--r--code_hdl_models_rom_using_case.v890logplain
-rw-r--r--code_hdl_models_serial_crc.v1297logplain
-rw-r--r--code_hdl_models_t_gate_switch.v188logplain
-rw-r--r--code_hdl_models_tff_async_reset.v733logplain
-rw-r--r--code_hdl_models_tff_sync_reset.v712logplain
-rw-r--r--code_hdl_models_uart.v3829logplain
-rw-r--r--code_hdl_models_up_counter.v717logplain
-rw-r--r--code_hdl_models_up_counter_load.v891logplain
-rw-r--r--code_hdl_models_up_down_counter.v804logplain
-rw-r--r--code_specman_switch_fabric.v2281logplain
-rw-r--r--code_tidbits_asyn_reset.v285logplain
-rw-r--r--code_tidbits_blocking.v158logplain
-rw-r--r--code_tidbits_fsm_using_always.v2715logplain
-rw-r--r--code_tidbits_fsm_using_function.v2874logplain
-rw-r--r--code_tidbits_fsm_using_single_always.v2025logplain
-rw-r--r--code_tidbits_nonblocking.v165logplain
-rw-r--r--code_tidbits_reg_combo_example.v134logplain
-rw-r--r--code_tidbits_reg_seq_example.v217logplain
-rw-r--r--code_tidbits_syn_reset.v262logplain
-rw-r--r--code_tidbits_wire_example.v106logplain
-rw-r--r--code_verilog_tutorial_addbit.v382logplain
-rw-r--r--code_verilog_tutorial_always_example.v175logplain
-rw-r--r--code_verilog_tutorial_bus_con.v141logplain
-rw-r--r--code_verilog_tutorial_comment.v364logplain
-rw-r--r--code_verilog_tutorial_counter.v521logplain
-rw-r--r--code_verilog_tutorial_counter_tb.v2738logplain
-rw-r--r--code_verilog_tutorial_d_ff.v185logplain
-rw-r--r--code_verilog_tutorial_decoder.v387logplain
-rw-r--r--code_verilog_tutorial_decoder_always.v389logplain
-rw-r--r--code_verilog_tutorial_escape_id.v259logplain
-rw-r--r--code_verilog_tutorial_explicit.v472logplain
-rw-r--r--code_verilog_tutorial_first_counter.v1640logplain
-rw-r--r--code_verilog_tutorial_first_counter_tb.v858logplain
-rw-r--r--code_verilog_tutorial_flip_flop.v205logplain
-rw-r--r--code_verilog_tutorial_fsm_full.v2994logplain
-rw-r--r--code_verilog_tutorial_fsm_full_tb.v1103logplain
-rw-r--r--code_verilog_tutorial_good_code.v345logplain
-rw-r--r--code_verilog_tutorial_if_else.v146logplain
-rw-r--r--code_verilog_tutorial_multiply.v161logplain
-rw-r--r--code_verilog_tutorial_mux_21.v150logplain
-rw-r--r--code_verilog_tutorial_n_out_primitive.v290logplain
-rw-r--r--code_verilog_tutorial_parallel_if.v473logplain
-rw-r--r--code_verilog_tutorial_parity.v944logplain
-rw-r--r--code_verilog_tutorial_simple_function.v132logplain
-rw-r--r--code_verilog_tutorial_simple_if.v126logplain
-rw-r--r--code_verilog_tutorial_task_global.v143logplain
-rw-r--r--code_verilog_tutorial_tri_buf.v126logplain
-rw-r--r--code_verilog_tutorial_v2k_reg.v520logplain
-rw-r--r--code_verilog_tutorial_which_clock.v154logplain
-rwxr-xr-xrun-test.sh71logplain