summaryrefslogtreecommitdiff
path: root/tests/lut/map_and.v
blob: 68ae33fd6deeac155afa349086a7ec1e3ccd141b (plain)
1
2
3
4
5
module top(...);
    input a, b;
    output y;
    assign y = a&b;
endmodule