summaryrefslogtreecommitdiff
path: root/tests/opt/opt_lut_port.il
blob: 7eb71890f0c29a9236450e6685c80ab5f03d17ac (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
module $1
  wire width 4 input 2 \_0_
  wire output 4 \_1_
  wire input 3 \_2_
  wire output 1 \o
  cell $lut \_3_
    parameter \LUT 16'0011000000000011
    parameter \WIDTH 4
    connect \A { \_0_ [3] \o 2'00 }
    connect \Y \_1_
  end
  cell $lut \_4_
    parameter \LUT 4'0001
    parameter \WIDTH 4
    connect \A { 3'000 \_2_ }
    connect \Y \o
  end
end