summaryrefslogtreecommitdiff
path: root/tests/sat/expose_dff.v
blob: 708e2da3af01801ecf9191aa7839574bee640f25 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
module test1(input clk, input [3:0] a, output reg [3:0] y);
always @(posedge clk)
	y <= a;
endmodule

module test2(input clk, input [3:0] a, output reg [3:0] y);
wire clk_n = !clk;
always @(negedge clk_n)
	y[1:0] <= a[1:0];
always @(negedge clk_n)
	y[3:2] <= a[3:2];
endmodule

// -----------------------------------------------------------

module test3(input clk, rst, input [3:0] a, output reg [3:0] y);
always @(posedge clk, posedge rst)
	if (rst)
		y <= 12;
	else
		y <= |a;
endmodule

module test4(input clk, rst, input [3:0] a, output reg [3:0] y);
wire rst_n = !rst;
always @(posedge clk, negedge rst_n)
	if (!rst_n)
		y <= 12;
	else
		y <= a != 0;
endmodule