summaryrefslogtreecommitdiff
path: root/tests/sat/initval.v
blob: 5b661f8d6a0488c6e453cffa7f1a1db1632ab9d1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
module test(input clk, input [3:0] bar, output [3:0] foo);
  reg [3:0] foo = 0;
  reg [3:0] last_bar = 0;

  always @*
    foo[1:0] <= bar[1:0];

  always @(posedge clk)
    foo[3:2] <= bar[3:2];

  always @(posedge clk)
    last_bar <= bar;

  assert property (foo == {last_bar[3:2], bar[1:0]});
endmodule