summaryrefslogtreecommitdiff
path: root/tests/simple/attrib07_func_call.v.DISABLED
blob: f55ef23160919f936b56962da573702d30cc9911 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
function [7:0] do_add;
  input [7:0] inp_a;
  input [7:0] inp_b;

  do_add = inp_a + inp_b;

endfunction

module foo(clk, rst, inp_a, inp_b, out);
  input  wire clk;
  input  wire rst;
  input  wire [7:0] inp_a;
  input  wire [7:0] inp_b;
  output wire [7:0] out;

  always @(posedge clk)
    if (rst) out <= 0;
    else     out <= do_add (* combinational_adder *) (inp_a, inp_b);

endmodule