summaryrefslogtreecommitdiff
path: root/tests/simple/localparam_attr.v
blob: 2ef76c71c6582615416fa352eb6d79855cd5f8ed (plain)
1
2
3
4
5
6
7
8
9
10
11
module uut_localparam_attr (I, O);

(* LOCALPARAM_ATTRIBUTE = "attribute_content" *)
localparam WIDTH = 1;

input  wire [WIDTH-1:0] I;
output wire [WIDTH-1:0] O;

assign O = I;

endmodule