summaryrefslogtreecommitdiff
path: root/tests/simple/mem2reg.v
blob: 7be32b0b3b4241c250b4e2e4c8d8cf5d98bf4a4e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
module test1(in_addr, in_data, out_addr, out_data);

input [1:0] in_addr, out_addr;
input [3:0] in_data;
output reg [3:0] out_data;

reg [3:0] array [2:0];

always @* begin
	array[0] = 0;
	array[1] = 23;
	array[2] = 42;
	array[in_addr] = in_data;
	out_data = array[out_addr];
end

endmodule