summaryrefslogtreecommitdiff
path: root/tests/simple/param_attr.v
blob: 34d63a34e01910d702cbc0b72c11d1e24b34185f (plain)
1
2
3
4
5
6
7
8
9
10
11
module uut_param_attr (I, O);

(* PARAMETER_ATTRIBUTE = "attribute_content" *)
parameter WIDTH = 1;

input  wire [WIDTH-1:0] I;
output wire [WIDTH-1:0] O;

assign O = I;

endmodule