summaryrefslogtreecommitdiff
path: root/tests/simple/realexpr.v
blob: 2adffe2dd2f09771c161769d6730d26ba5d7450b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
module demo_001(y1, y2, y3, y4);
	output [7:0] y1, y2, y3, y4;

	localparam [7:0] p1 = 123.45;
	localparam real p2 = 123.45;
	localparam real p3 = 123;
	localparam p4 = 123.45;

	assign y1 = p1 + 0.2;
	assign y2 = p2 + 0.2;
	assign y3 = p3 + 0.2;
	assign y4 = p4 + 0.2;
endmodule

module demo_002(y1);
	output [3:0] y1;

	assign y1 = 1'bx >= (-1 * -1.17);
endmodule