summaryrefslogtreecommitdiff
path: root/tests/sva/basic04.sv
blob: bc46be9f689e3c1902beb1eb78413bde4379bdf1 (plain)
1
2
3
4
5
6
7
8
9
10
module top_properties (input logic clock, read, write, ready);
	a_rw: assert property ( @(posedge clock) !(read && write) );
`ifdef FAIL
	a_wr: assert property ( @(posedge clock) write |-> ready );
`else
	a_wr: assert property ( @(posedge clock) write |=> ready );
`endif
endmodule

bind top top_properties properties_inst (.*);