summaryrefslogtreecommitdiff
path: root/tests/svinterfaces/svinterface_at_top_wrapper.v
blob: 64f906c07a0b4ea8ba4cee10a619fcba8087de20 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
`timescale 1ns/10ps

module svinterface_at_top_wrapper(
  input logic clk,
  input logic rst,
  output logic [21:0] outOther,
  input logic [1:0] sig,
  output logic [1:0] sig_out,
  input logic flip,
  output logic [15:0] passThrough,

    input logic interfaceInstanceAtTop_setting,
    output logic [2:0] interfaceInstanceAtTop_other_setting,
    output logic [1:0] interfaceInstanceAtTop_mysig_out,
    output logic [15:0] interfaceInstanceAtTop_passThrough,
  );


  TopModule u_dut (
    .clk(clk),
    .rst(rst),
    .outOther(outOther),
    .sig(sig),
    .flip(flip),
    .passThrough(passThrough),
    .\interfaceInstanceAtTop.setting(interfaceInstanceAtTop_setting),
    .\interfaceInstanceAtTop.other_setting(interfaceInstanceAtTop_other_setting),
    .\interfaceInstanceAtTop.mysig_out(interfaceInstanceAtTop_mysig_out),
    .\interfaceInstanceAtTop.passThrough(interfaceInstanceAtTop_passThrough),
    .sig_out(sig_out)
  );

endmodule