summaryrefslogtreecommitdiff
path: root/modules/vidloop/vidloop.c
diff options
context:
space:
mode:
Diffstat (limited to 'modules/vidloop/vidloop.c')
-rw-r--r--modules/vidloop/vidloop.c6
1 files changed, 4 insertions, 2 deletions
diff --git a/modules/vidloop/vidloop.c b/modules/vidloop/vidloop.c
index a7cecf8..99575e6 100644
--- a/modules/vidloop/vidloop.c
+++ b/modules/vidloop/vidloop.c
@@ -122,8 +122,10 @@ static int display(struct video_loop *vl, struct vidframe *frame)
}
-static int packet_handler(bool marker, const uint8_t *hdr, size_t hdr_len,
- const uint8_t *pld, size_t pld_len, void *arg)
+static int packet_handler(bool marker, uint32_t rtp_ts,
+ const uint8_t *hdr, size_t hdr_len,
+ const uint8_t *pld, size_t pld_len,
+ void *arg)
{
struct video_loop *vl = arg;
struct vidframe frame;