summaryrefslogtreecommitdiff
path: root/test/sys/dev/block
diff options
context:
space:
mode:
Diffstat (limited to 'test/sys/dev/block')
l---------test/sys/dev/block/11:01
l---------test/sys/dev/block/7:01
l---------test/sys/dev/block/7:11
l---------test/sys/dev/block/7:21
l---------test/sys/dev/block/7:31
l---------test/sys/dev/block/7:41
l---------test/sys/dev/block/7:51
l---------test/sys/dev/block/7:61
l---------test/sys/dev/block/7:71
l---------test/sys/dev/block/8:01
l---------test/sys/dev/block/8:11
l---------test/sys/dev/block/8:101
l---------test/sys/dev/block/8:161
l---------test/sys/dev/block/8:171
l---------test/sys/dev/block/8:51
l---------test/sys/dev/block/8:61
l---------test/sys/dev/block/8:71
l---------test/sys/dev/block/8:81
l---------test/sys/dev/block/8:91
l---------test/sys/dev/block/9:01
20 files changed, 20 insertions, 0 deletions
diff --git a/test/sys/dev/block/11:0 b/test/sys/dev/block/11:0
new file mode 120000
index 000000000..4d1c248a3
--- /dev/null
+++ b/test/sys/dev/block/11:0
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.1/host4/target4:0:0/4:0:0:0/block/sr0 \ No newline at end of file
diff --git a/test/sys/dev/block/7:0 b/test/sys/dev/block/7:0
new file mode 120000
index 000000000..86a5878d7
--- /dev/null
+++ b/test/sys/dev/block/7:0
@@ -0,0 +1 @@
+../../devices/virtual/block/loop0 \ No newline at end of file
diff --git a/test/sys/dev/block/7:1 b/test/sys/dev/block/7:1
new file mode 120000
index 000000000..97476753e
--- /dev/null
+++ b/test/sys/dev/block/7:1
@@ -0,0 +1 @@
+../../devices/virtual/block/loop1 \ No newline at end of file
diff --git a/test/sys/dev/block/7:2 b/test/sys/dev/block/7:2
new file mode 120000
index 000000000..5fc0d7dd1
--- /dev/null
+++ b/test/sys/dev/block/7:2
@@ -0,0 +1 @@
+../../devices/virtual/block/loop2 \ No newline at end of file
diff --git a/test/sys/dev/block/7:3 b/test/sys/dev/block/7:3
new file mode 120000
index 000000000..a6d7b89de
--- /dev/null
+++ b/test/sys/dev/block/7:3
@@ -0,0 +1 @@
+../../devices/virtual/block/loop3 \ No newline at end of file
diff --git a/test/sys/dev/block/7:4 b/test/sys/dev/block/7:4
new file mode 120000
index 000000000..71386cbfd
--- /dev/null
+++ b/test/sys/dev/block/7:4
@@ -0,0 +1 @@
+../../devices/virtual/block/loop4 \ No newline at end of file
diff --git a/test/sys/dev/block/7:5 b/test/sys/dev/block/7:5
new file mode 120000
index 000000000..af83a2736
--- /dev/null
+++ b/test/sys/dev/block/7:5
@@ -0,0 +1 @@
+../../devices/virtual/block/loop5 \ No newline at end of file
diff --git a/test/sys/dev/block/7:6 b/test/sys/dev/block/7:6
new file mode 120000
index 000000000..efdbd9532
--- /dev/null
+++ b/test/sys/dev/block/7:6
@@ -0,0 +1 @@
+../../devices/virtual/block/loop6 \ No newline at end of file
diff --git a/test/sys/dev/block/7:7 b/test/sys/dev/block/7:7
new file mode 120000
index 000000000..7a6d7e4aa
--- /dev/null
+++ b/test/sys/dev/block/7:7
@@ -0,0 +1 @@
+../../devices/virtual/block/loop7 \ No newline at end of file
diff --git a/test/sys/dev/block/8:0 b/test/sys/dev/block/8:0
new file mode 120000
index 000000000..7ecaff113
--- /dev/null
+++ b/test/sys/dev/block/8:0
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda \ No newline at end of file
diff --git a/test/sys/dev/block/8:1 b/test/sys/dev/block/8:1
new file mode 120000
index 000000000..18dc11fc2
--- /dev/null
+++ b/test/sys/dev/block/8:1
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda/sda1 \ No newline at end of file
diff --git a/test/sys/dev/block/8:10 b/test/sys/dev/block/8:10
new file mode 120000
index 000000000..d64a8257a
--- /dev/null
+++ b/test/sys/dev/block/8:10
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda/sda10 \ No newline at end of file
diff --git a/test/sys/dev/block/8:16 b/test/sys/dev/block/8:16
new file mode 120000
index 000000000..96ff40b86
--- /dev/null
+++ b/test/sys/dev/block/8:16
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1d.7/usb5/5-2/5-2:1.0/host6/target6:0:0/6:0:0:0/block/sdb \ No newline at end of file
diff --git a/test/sys/dev/block/8:17 b/test/sys/dev/block/8:17
new file mode 120000
index 000000000..712deabcd
--- /dev/null
+++ b/test/sys/dev/block/8:17
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1d.7/usb5/5-2/5-2:1.0/host6/target6:0:0/6:0:0:0/block/sdb/sdb1 \ No newline at end of file
diff --git a/test/sys/dev/block/8:5 b/test/sys/dev/block/8:5
new file mode 120000
index 000000000..d7bd5661c
--- /dev/null
+++ b/test/sys/dev/block/8:5
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda/sda5 \ No newline at end of file
diff --git a/test/sys/dev/block/8:6 b/test/sys/dev/block/8:6
new file mode 120000
index 000000000..2b13ee845
--- /dev/null
+++ b/test/sys/dev/block/8:6
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda/sda6 \ No newline at end of file
diff --git a/test/sys/dev/block/8:7 b/test/sys/dev/block/8:7
new file mode 120000
index 000000000..d8b862667
--- /dev/null
+++ b/test/sys/dev/block/8:7
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda/sda7 \ No newline at end of file
diff --git a/test/sys/dev/block/8:8 b/test/sys/dev/block/8:8
new file mode 120000
index 000000000..34923aae6
--- /dev/null
+++ b/test/sys/dev/block/8:8
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda/sda8 \ No newline at end of file
diff --git a/test/sys/dev/block/8:9 b/test/sys/dev/block/8:9
new file mode 120000
index 000000000..4b108b233
--- /dev/null
+++ b/test/sys/dev/block/8:9
@@ -0,0 +1 @@
+../../devices/pci0000:00/0000:00:1f.2/host0/target0:0:0/0:0:0:0/block/sda/sda9 \ No newline at end of file
diff --git a/test/sys/dev/block/9:0 b/test/sys/dev/block/9:0
new file mode 120000
index 000000000..2072a2f60
--- /dev/null
+++ b/test/sys/dev/block/9:0
@@ -0,0 +1 @@
+../../devices/virtual/block/md0 \ No newline at end of file