summaryrefslogtreecommitdiff
path: root/test/sys/devices/pci0000:00/0000:00:1c.1/0000:03:00.0/ieee80211/phy0/subsystem
diff options
context:
space:
mode:
Diffstat (limited to 'test/sys/devices/pci0000:00/0000:00:1c.1/0000:03:00.0/ieee80211/phy0/subsystem')
l---------test/sys/devices/pci0000:00/0000:00:1c.1/0000:03:00.0/ieee80211/phy0/subsystem1
1 files changed, 1 insertions, 0 deletions
diff --git a/test/sys/devices/pci0000:00/0000:00:1c.1/0000:03:00.0/ieee80211/phy0/subsystem b/test/sys/devices/pci0000:00/0000:00:1c.1/0000:03:00.0/ieee80211/phy0/subsystem
new file mode 120000
index 000000000..a124df144
--- /dev/null
+++ b/test/sys/devices/pci0000:00/0000:00:1c.1/0000:03:00.0/ieee80211/phy0/subsystem
@@ -0,0 +1 @@
+../../../../../../class/ieee80211 \ No newline at end of file