summaryrefslogtreecommitdiff
path: root/docs/index.html
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-12-31 13:43:36 +0100
committerClifford Wolf <clifford@clifford.at>2015-12-31 13:43:36 +0100
commit3ee30532106d4aa92a0547730c992a06f0bbdef5 (patch)
tree3eed7c6c8633d6efcb4d01f9578cf3bb8d8a550e /docs/index.html
parentb9e6d07e9ca9c4c6fb758e6886f43684948d70a7 (diff)
Added link to 32c3 presentation
Diffstat (limited to 'docs/index.html')
-rw-r--r--docs/index.html1
1 files changed, 1 insertions, 0 deletions
diff --git a/docs/index.html b/docs/index.html
index ecd4449..d02cbd9 100644
--- a/docs/index.html
+++ b/docs/index.html
@@ -5,6 +5,7 @@
<h1>Project IceStorm</h1>
<p>
+<b>2015-12-27:</b> <a href="http://www.clifford.at/papers/2015/icestorm-flow/">Presentation</a> of the IceStorm flow at 32C3 (<a href="https://www.youtube.com/watch?v=9rYiGDDUIzg">Video on Youtube</a>).<br/>
<b>2015-07-19:</b> Released support for 8k chips. Moved IceStorm source code to GitHub.<br/>
<b>2015-05-27:</b> We have a working fully Open Source flow with <a href="http://www.clifford.at/yosys/">Yosys</a> and <a href="https://github.com/cseed/arachne-pnr">Arachne-pnr</a>! Video: <a href="http://youtu.be/yUiNlmvVOq8">http://youtu.be/yUiNlmvVOq8</a><br/>
<b>2015-04-13:</b> Complete rewrite of IceUnpack, added IcePack, some major documentation updates<br/>