summaryrefslogtreecommitdiff
path: root/docs/index.html
diff options
context:
space:
mode:
authorRuben Undheim <ruben.undheim@gmail.com>2018-12-05 08:24:49 +0100
committerRuben Undheim <ruben.undheim@gmail.com>2018-12-05 08:24:49 +0100
commit8cdaf84defddf5a7bd1c4551ece81b9d832e4595 (patch)
tree633fb613368bc4d1f26a63fc5142b4de3a9b6cc5 /docs/index.html
parente515d7ff6e4e71b3e5fe6b264427029f2e3c9e72 (diff)
parent8cbb9960214d27e06e6e69c57e77f4178dcfe36b (diff)
Merge tag 'upstream/0_20181109git9671b76'
Upstream version 0~20181109git9671b76
Diffstat (limited to 'docs/index.html')
-rw-r--r--docs/index.html27
1 files changed, 22 insertions, 5 deletions
diff --git a/docs/index.html b/docs/index.html
index a8ab14b..efda996 100644
--- a/docs/index.html
+++ b/docs/index.html
@@ -142,7 +142,8 @@ Installing prerequisites (this command is for Ubuntu 14.04):
<pre style="padding-left: 3em">
sudo apt-get install build-essential clang bison flex libreadline-dev \
gawk tcl-dev libffi-dev git mercurial graphviz \
- xdot pkg-config python python3 libftdi-dev
+ xdot pkg-config python python3 libftdi-dev \
+ qt5-default python3-dev libboost-dev
</pre>
<p>
@@ -152,10 +153,15 @@ On Fedora 24 the following command installs all prerequisites:
<pre style="padding-left: 3em">
sudo dnf install make automake gcc gcc-c++ kernel-devel clang bison \
flex readline-devel gawk tcl-devel libffi-devel git mercurial \
- graphviz python-xdot pkgconfig python python3 libftdi-devel
+ graphviz python-xdot pkgconfig python python3 libftdi-devel \
+ qt5-devel python3-devel boost-devel
</pre>
<p>
+Note: All tools will be installed relative to /usr/local
+</p>
+
+<p>
Installing the <a href="https://github.com/cliffordwolf/icestorm">IceStorm Tools</a> (icepack, icebox, iceprog, icetime, chip databases):
</p>
@@ -165,7 +171,7 @@ make -j$(nproc)
sudo make install</pre>
<p>
-Installing <a href="https://github.com/cseed/arachne-pnr">Arachne-PNR</a> (the place&amp;route tool):
+Installing <a href="https://github.com/cseed/arachne-pnr">Arachne-PNR</a> (place&amp;route tool, predecessor to NextPNR):
</p>
<pre style="padding-left: 3em">git clone https://github.com/cseed/arachne-pnr.git arachne-pnr
@@ -174,6 +180,16 @@ make -j$(nproc)
sudo make install</pre>
<p>
+Installing <a href="https://github.com/YosysHQ/nextpnr">NextPNR</a> (place&amp;route tool, Arachne-PNR replacement):
+</p>
+
+<pre style="padding-left: 3em">git clone https://github.com/YosysHQ/nextpnr nextpnr
+cd nextpnr
+cmake -DARCH=ice40 -DCMAKE_INSTALL_PREFIX=/usr/local .
+make -j$(nproc)
+sudo make install</pre>
+
+<p>
Installing <a href="http://www.clifford.at/yosys/">Yosys</a> (Verilog synthesis):
</p>
@@ -183,8 +199,9 @@ make -j$(nproc)
sudo make install</pre>
<p>
-The Arachne-PNR build converts the IceStorm text chip databases into the arachne-pnr binary chip databases. Always rebuild Arachne-PNR
-after updating your IceStorm installation.
+Both place and route tools (Arachne-PNR &amp; NextPNR) convert the IceStorm
+text chip databases into the respective PNR binary chip databases during build.
+Always rebuild the PNR tools after updating your IceStorm installation.
</p>
<p>