summaryrefslogtreecommitdiff
path: root/icetime/icetime.cc
Commit message (Expand)AuthorAge
* Fix GCC-10 build with missing includeRuben Undheim2020-10-13
* Install the python programs and the iceboxdb module in /usr/share/fpga-icesto...Ruben Undheim2020-10-13
* New upstream version 0~20190913git0ec00d8Ruben Undheim2019-10-19
* New upstream version 0~20181109git9671b76Ruben Undheim2018-12-05
* New upstream version 0~20180730git8cac6c5Ruben Undheim2018-08-04
* icetime: Output where the chipdb should be found.Tim 'mithro' Ansell2018-06-14
* Fix icetime for .asc files that do not contain all tilesClifford Wolf2018-06-13
* icetime: Lowercase the device type information.Tim 'mithro' Ansell2018-06-11
* Mount NODEFS if using emscripten and nodejsRobert Ou2018-03-31
* Fix handling of a port name like CLKHF_FABRIC in icetimeDavid Shah2018-02-28
* Properly ignore unsupported cell typesDavid Shah2018-02-19
* Fix compiler warning (comparison between signed and unsigned int) in icetimeClifford Wolf2018-02-09
* Misc UltraPlus fixesDavid Shah2018-01-30
* Updated 5k timing data, icetime regression fixDavid Shah2018-01-29
* DSP related fixesDavid Shah2018-01-28
* Working DSP timing analysisDavid Shah2018-01-26
* Work on timing analysis of DSPsDavid Shah2018-01-26
* Parse extra cells in icetimeDavid Shah2018-01-23
* More DSP timing fuzzing, start adding new tiles to icetimeDavid Shah2018-01-22
* Initial 5k support in icetime (no support for new cells yet)David Shah2017-11-24
* icetime: never silently truncate asc file linesCliff L. Biffle2017-05-09
* Add LP384 support to icetimeClifford Wolf2017-03-13
* Add "icetime -N"Clifford Wolf2017-02-26
* Removed icetime estimate warningClifford Wolf2016-11-01
* Added a new config variable CHIPDB_SUBDIR. It determines the subdir nameSalvador E. Tropea2016-10-13
* Added "icetime -C <chipdb-file>"Clifford Wolf2016-10-02
* icetime: support PREFIX expansion for win32Jesús Arroyo Torrens2016-08-23
* Only define _GNU_SOURCE for vasprintf() when not _WIN32Clifford Wolf2016-07-26
* Explicitly ask for vasprintf where appropriate.Yury Gribov2016-07-26
* Bugfix in icetime .pcf parserClifford Wolf2016-06-14
* Better icetime error message for empty designsClifford Wolf2016-06-14
* Bugfix for when e.g. PLL LOCK drives longest pathClifford Wolf2016-05-16
* Some icetime json format fixesClifford Wolf2016-05-16
* icetime: only include "net" in json output if different from hwnetClifford Wolf2016-05-16
* icetime: json now contains net and hwnetClifford Wolf2016-05-16
* Added icetime json output format (icetime -j)Clifford Wolf2016-05-15
* Added "icetime -c"Clifford Wolf2016-05-03
* Fixed make_lc40 with y=0 bug #32Clifford Wolf2016-02-29
* fix a few spelling errorsRuben Undheim2016-02-27
* Cleanups for PREFIX patchClifford Wolf2016-02-10
* [Icetime] PREFIX expansion for locating the chipdb files in the user home dir...obijuan2016-02-10
* Timing models for LP and HX devicesClifford Wolf2016-02-01
* icetime progressClifford Wolf2016-01-26
* icetime progressClifford Wolf2016-01-25
* Fixed gcc 4.7.2 compiler warning in icetimeClifford Wolf2016-01-24
* Improved icetime PREFIX handlingClifford Wolf2016-01-23
* icetime: fixed chipdb path issue for Arch LinuxSebastian Bøe2016-01-23
* added icetime -o/-r optionsClifford Wolf2016-01-18
* Added icetime to examplesClifford Wolf2016-01-17
* Bugfix in "icetime -i"Clifford Wolf2016-01-16