summaryrefslogtreecommitdiff
path: root/test/test-files/test_engine_ngspice_watcher/basic/result/expected.txt
diff options
context:
space:
mode:
Diffstat (limited to 'test/test-files/test_engine_ngspice_watcher/basic/result/expected.txt')
-rw-r--r--test/test-files/test_engine_ngspice_watcher/basic/result/expected.txt1176
1 files changed, 1176 insertions, 0 deletions
diff --git a/test/test-files/test_engine_ngspice_watcher/basic/result/expected.txt b/test/test-files/test_engine_ngspice_watcher/basic/result/expected.txt
new file mode 100644
index 0000000..d2c3d21
--- /dev/null
+++ b/test/test-files/test_engine_ngspice_watcher/basic/result/expected.txt
@@ -0,0 +1,1176 @@
+
+Circuit: * /home/michi/tryprogressbar.oregano
+
+Doing analysis at TEMP = 27.000000 and TNOM = 27.000000
+
+
+No. of Data Rows : 1
+
+Initial Transient Solution
+--------------------------
+
+Node Voltage
+---- -------
+5 0
+1 0
+3 0
+2 0
+4 0
+l_l1#branch 0
+v_v1#branch 0
+v_v2#branch 0
+v_v3#branch 0
+
+
+No. of Data Rows : 284
+ Node Voltage
+ ---- -------
+ ---- -------
+ V(4) 2.000000e+01
+ V(2) 0.000000e+00
+ V(3) 1.934065e+01
+ V(1) 2.000000e+01
+ V(5) 2.000000e+01
+
+ Source Current
+ ------ -------
+
+ v_v3#branch -1.93406e-03
+ v_v2#branch 0.000000e+00
+ v_v1#branch -1.93406e-03
+ l_l1#branch 1.934065e-03
+
+ Capacitor models (Fixed capacitor)
+ model C
+
+ cap 0
+ cj 0
+ cjsw 0
+ defw 1e-05
+ defl 0
+ narrow 0
+ short 0
+ del 0
+ tc1 0
+ tc2 0
+ di 0
+ thick 0
+ bv_max 1e+99
+
+ Diode models (Junction Diode model)
+ model d1n4148
+
+ level 1
+ is 1e-13
+ jsw 0
+ rs 16
+ trs 0
+ trs2 0
+ n 1
+ ns 1
+ tt 1.2e-08
+ ttt1 0
+ ttt2 0
+ cjo 2e-12
+ vj 1
+ m 0.5
+ tm1 0
+ tm2 0
+ cjp 0
+ php 1
+ mjsw 0.33
+ ikf 0
+ ikr 0
+ nbv 1
+ area 1
+ pj 0
+ tlev 0
+ tlevc 0
+ eg 1.11
+ xti 3
+ cta 0
+ ctp 0
+ ctp 0
+ tpb 0
+ tphp 0
+ jtun 0
+ jtunsw 0
+ ntun 30
+ xtitun 3
+ keg 1
+ kf 0
+ af 1
+ fc 0.5
+ fcs 0.5
+ bv 100
+ ibv 3.867e-10
+ tcv 0
+ fv_max 1e+99
+ bv_max 1e+99
+
+ Inductor models (Fixed inductor)
+ model L
+
+ ind 0
+ tc1 0
+ tc2 0
+ csect 0
+ length 0
+ nt 0
+ mu 0
+
+ Resistor models (Simple linear resistor)
+ model R
+
+ rsh 0
+ narrow 0
+ short 0
+ tc1 0
+ tc2 0
+ defw 1e-05
+ l 1e-05
+ kf 0
+ af 0
+ r 0
+ bv_max 1e+99
+
+ Switch models (Ideal voltage controlled switch)
+ model m_s1
+
+
+ Capacitor: Fixed capacitor
+ device c_c1
+ model C
+capacitance 0.0001
+ cap 0.0001
+ c 0.0001
+ dtemp 0
+ bv_max 1e+99
+ i -0.00599982
+ p -0.359989
+
+ Diode: Junction Diode model
+ device d_d1
+ model d1n4148
+ vd -60
+ id 1.66255e-09
+ gd 0.103611
+ cd 2.56074e-13
+
+ Inductor: Fixed inductor
+ device l_l1
+ model L
+ inductance 0.0003
+ dtemp 0
+ nt 0
+ flux 4.94978e-08
+ v 20
+ i 0.000164993
+ p 0.00329985
+
+ Resistor: Simple linear resistor
+ device r_r1
+ model R
+ resistance 10000
+ ac 10000
+ dtemp 0
+ bv_max 1e+99
+ noisy 1
+ i 0.006
+ p 0.36
+
+ Switch: Ideal voltage controlled switch
+ device s1
+ model m_s1
+ i 0.000164991
+ p 2.72221e-09
+
+ Vsource: Independent voltage source
+ device v_v1 v_v2 v_v3
+ dc 20 0 0
+ acmag 0 0 0
+ pulse - -5 -
+ 25
+ 0
+ 0
+ 0
+ 1e-06
+ 1e-05
+ sine - -5 -
+ 25
+ 0
+ 0
+ 0
+ 1e-06
+ 1e-05
+ sin - -5 -
+ 25
+ 0
+ 0
+ 0
+ 1e-06
+ 1e-05
+ exp - -5 -
+ 25
+ 0
+ 0
+ 0
+ 1e-06
+ 1e-05
+ pwl - -5 -
+ 25
+ 0
+ 0
+ 0
+ 1e-06
+ 1e-05
+ sffm - -5 -
+ 25
+ 0
+ 0
+ 0
+ 1e-06
+ 1e-05
+ am - -5 -
+ 25
+ 0
+ 0
+ 0
+ 1e-06
+ 1e-05
+ trnoise - -5 -
+ 25
+ 0
+ 0
+ 0
+ 1e-06
+ 1e-05
+ trrandom - -5 -
+ 25
+ 0
+ 0
+ 0
+ 1e-06
+ 1e-05
+ i -0.000164993 0 -1.66255e-09
+ p 0.00329986 -0 0
+
+ * /home/michi/tryprogressbar.oregano
+ Transient Analysis Fri Jun 9 21:36:56 2017
+--------------------------------------------------------------------------------
+Index time V(1) V(2) V(3)
+--------------------------------------------------------------------------------
+0 0.000000e+00 0.000000e+00 0.000000e+00 0.000000e+00
+1 1.000000e-13 6.000000e+01 -4.70000e+00 6.000000e+01
+2 2.000000e-13 6.000000e+01 -4.40000e+00 6.000000e+01
+3 4.000000e-13 6.000000e+01 -3.80000e+00 6.000000e+01
+4 8.000000e-13 6.000000e+01 -2.60000e+00 6.000000e+01
+5 1.600000e-12 6.000000e+01 -2.00000e-01 6.000000e+01
+6 3.200000e-12 5.999999e+01 4.600000e+00 6.000000e+01
+7 4.666667e-12 5.999999e+01 9.000000e+00 6.000000e+01
+8 5.358333e-12 5.999999e+01 1.107500e+01 6.000000e+01
+9 6.568750e-12 5.999998e+01 1.470625e+01 6.000000e+01
+10 6.903776e-12 5.999998e+01 1.571133e+01 6.000000e+01
+11 7.490072e-12 5.999998e+01 1.747021e+01 6.000000e+01
+12 7.652350e-12 5.999998e+01 1.795705e+01 6.000000e+01
+13 7.936337e-12 5.999998e+01 1.880901e+01 6.000000e+01
+14 8.014940e-12 5.999998e+01 1.904482e+01 6.000000e+01
+15 8.152496e-12 5.999998e+01 1.945749e+01 6.000000e+01
+16 8.190570e-12 5.999998e+01 1.957171e+01 6.000000e+01
+17 8.257199e-12 5.999998e+01 1.977160e+01 6.000000e+01
+18 8.275641e-12 5.999998e+01 1.982692e+01 6.000000e+01
+19 8.307914e-12 5.999998e+01 1.992374e+01 6.000000e+01
+20 8.339341e-12 3.724889e-01 2.001802e+01 6.000000e+01
+21 8.368989e-12 3.721389e-01 2.010697e+01 6.000000e+01
+22 8.415783e-12 3.715661e-01 2.024735e+01 6.000000e+01
+23 8.462595e-12 3.709686e-01 2.038778e+01 6.000000e+01
+24 8.556218e-12 3.697067e-01 2.066865e+01 6.000000e+01
+25 8.743465e-12 3.669207e-01 2.123039e+01 6.000000e+01
+26 9.117958e-12 3.603499e-01 2.235387e+01 6.000000e+01
+27 9.866944e-12 3.436482e-01 2.460083e+01 6.000000e+01
+28 1.000000e-11 3.402226e-01 2.500000e+01 6.000000e+01
+29 1.014980e-11 3.362220e-01 2.500000e+01 6.000000e+01
+30 1.044939e-11 3.277442e-01 2.500000e+01 6.000000e+01
+31 1.104858e-11 3.093324e-01 2.500000e+01 6.000000e+01
+32 1.224696e-11 2.687330e-01 2.500000e+01 6.000000e+01
+33 1.464371e-11 1.860234e-01 2.500000e+01 6.000000e+01
+34 1.943722e-11 6.687593e-02 2.500000e+01 6.000000e+01
+35 2.680459e-11 5.465349e-03 2.500000e+01 6.000000e+01
+36 3.505704e-11 7.712940e-06 2.500000e+01 6.000000e+01
+37 4.505704e-11 -6.02386e-07 2.500000e+01 6.000000e+01
+38 5.505704e-11 2.702691e-07 2.500000e+01 6.000000e+01
+39 6.505704e-11 2.593860e-07 2.500000e+01 6.000000e+01
+40 7.505704e-11 3.334843e-07 2.500000e+01 6.000000e+01
+41 8.505704e-11 3.994362e-07 2.500000e+01 6.000000e+01
+42 9.505704e-11 4.661716e-07 2.500000e+01 6.000000e+01
+43 1.050570e-10 5.328316e-07 2.500000e+01 6.000000e+01
+44 1.150570e-10 5.994989e-07 2.500000e+01 6.000000e+01
+45 1.250570e-10 6.661655e-07 2.500000e+01 6.000000e+01
+46 1.350570e-10 7.328321e-07 2.500000e+01 6.000000e+01
+47 1.450570e-10 7.994989e-07 2.500000e+01 6.000000e+01
+48 1.550570e-10 8.661655e-07 2.500000e+01 6.000000e+01
+49 1.650570e-10 9.328322e-07 2.500000e+01 6.000000e+01
+50 1.750570e-10 9.994988e-07 2.500000e+01 6.000000e+01
+51 1.850570e-10 1.066166e-06 2.500000e+01 6.000000e+01
+52 1.950570e-10 1.132832e-06 2.500000e+01 6.000000e+01
+53 2.050570e-10 1.199499e-06 2.500000e+01 6.000000e+01
+54 2.150570e-10 1.266165e-06 2.500000e+01 6.000000e+01
+
+Index time V(1) V(2) V(3)
+--------------------------------------------------------------------------------
+55 2.250570e-10 1.332832e-06 2.500000e+01 6.000000e+01
+56 2.350570e-10 1.399499e-06 2.500000e+01 6.000000e+01
+57 2.450570e-10 1.466165e-06 2.500000e+01 6.000000e+01
+58 2.550570e-10 1.532832e-06 2.500000e+01 6.000000e+01
+59 2.650570e-10 1.599499e-06 2.500000e+01 6.000000e+01
+60 2.750570e-10 1.666165e-06 2.500000e+01 6.000000e+01
+61 2.850570e-10 1.732832e-06 2.500000e+01 6.000000e+01
+62 2.950570e-10 1.799499e-06 2.500000e+01 6.000000e+01
+63 3.050570e-10 1.866165e-06 2.500000e+01 6.000000e+01
+64 3.150570e-10 1.932832e-06 2.500000e+01 6.000000e+01
+65 3.250570e-10 1.999499e-06 2.500000e+01 6.000000e+01
+66 3.350570e-10 2.066165e-06 2.500000e+01 6.000000e+01
+67 3.450570e-10 2.132832e-06 2.500000e+01 6.000000e+01
+68 3.550570e-10 2.199499e-06 2.500000e+01 6.000000e+01
+69 3.650570e-10 2.266165e-06 2.500000e+01 6.000000e+01
+70 3.750570e-10 2.332832e-06 2.500000e+01 6.000000e+01
+71 3.850570e-10 2.399499e-06 2.500000e+01 6.000000e+01
+72 3.950570e-10 2.466165e-06 2.500000e+01 6.000000e+01
+73 4.050570e-10 2.532832e-06 2.500000e+01 6.000000e+01
+74 4.150570e-10 2.599499e-06 2.500000e+01 6.000000e+01
+75 4.250570e-10 2.666166e-06 2.500000e+01 6.000000e+01
+76 4.350570e-10 2.732832e-06 2.500000e+01 6.000000e+01
+77 4.450570e-10 2.799499e-06 2.500000e+01 6.000000e+01
+78 4.550570e-10 2.866166e-06 2.500000e+01 6.000000e+01
+79 4.650570e-10 2.932832e-06 2.500000e+01 6.000000e+01
+80 4.750570e-10 2.999499e-06 2.500000e+01 6.000000e+01
+81 4.850570e-10 3.066165e-06 2.500000e+01 6.000000e+01
+82 4.950570e-10 3.132832e-06 2.500000e+01 6.000000e+01
+83 5.050570e-10 3.199498e-06 2.500000e+01 6.000000e+01
+84 5.150570e-10 3.266165e-06 2.500000e+01 6.000000e+01
+85 5.250570e-10 3.332832e-06 2.500000e+01 6.000000e+01
+86 5.350570e-10 3.399499e-06 2.500000e+01 6.000000e+01
+87 5.450570e-10 3.466166e-06 2.500000e+01 6.000000e+01
+88 5.550570e-10 3.532832e-06 2.500000e+01 6.000000e+01
+89 5.650570e-10 3.599499e-06 2.500000e+01 6.000000e+01
+90 5.750570e-10 3.666165e-06 2.500000e+01 6.000000e+01
+91 5.850570e-10 3.732832e-06 2.500000e+01 6.000000e+01
+92 5.950570e-10 3.799498e-06 2.500000e+01 6.000000e+01
+93 6.050570e-10 3.866165e-06 2.500000e+01 6.000000e+01
+94 6.150570e-10 3.932832e-06 2.500000e+01 6.000000e+01
+95 6.250570e-10 3.999498e-06 2.500000e+01 6.000000e+01
+96 6.350570e-10 4.066165e-06 2.500000e+01 6.000000e+01
+97 6.450570e-10 4.132832e-06 2.500000e+01 6.000000e+01
+98 6.550570e-10 4.199499e-06 2.500000e+01 6.000000e+01
+99 6.650570e-10 4.266165e-06 2.500000e+01 6.000000e+01
+100 6.750570e-10 4.332832e-06 2.500000e+01 6.000000e+01
+101 6.850570e-10 4.399498e-06 2.500000e+01 6.000000e+01
+102 6.950570e-10 4.466165e-06 2.500000e+01 6.000000e+01
+103 7.050570e-10 4.532832e-06 2.500000e+01 6.000000e+01
+104 7.150570e-10 4.599498e-06 2.500000e+01 6.000000e+01
+105 7.250570e-10 4.666165e-06 2.500000e+01 6.000000e+01
+106 7.350570e-10 4.732832e-06 2.500000e+01 6.000000e+01
+107 7.450570e-10 4.799499e-06 2.500000e+01 6.000000e+01
+108 7.550570e-10 4.866165e-06 2.500000e+01 6.000000e+01
+109 7.650570e-10 4.932831e-06 2.500000e+01 6.000000e+01
+110 7.750570e-10 4.999498e-06 2.500000e+01 6.000000e+01
+111 7.850570e-10 5.066164e-06 2.500000e+01 6.000000e+01
+112 7.950570e-10 5.132831e-06 2.500000e+01 6.000000e+01
+
+Index time V(1) V(2) V(3)
+--------------------------------------------------------------------------------
+113 8.050570e-10 5.199498e-06 2.500000e+01 6.000000e+01
+114 8.150570e-10 5.266165e-06 2.500000e+01 6.000000e+01
+115 8.250570e-10 5.332831e-06 2.500000e+01 6.000000e+01
+116 8.350570e-10 5.399498e-06 2.500000e+01 6.000000e+01
+117 8.450570e-10 5.466165e-06 2.500000e+01 6.000000e+01
+118 8.550570e-10 5.532831e-06 2.500000e+01 6.000000e+01
+119 8.650570e-10 5.599498e-06 2.500000e+01 6.000000e+01
+120 8.750570e-10 5.666165e-06 2.500000e+01 6.000000e+01
+121 8.850570e-10 5.732831e-06 2.500000e+01 6.000000e+01
+122 8.950570e-10 5.799498e-06 2.500000e+01 6.000000e+01
+123 9.050570e-10 5.866165e-06 2.500000e+01 6.000000e+01
+124 9.150570e-10 5.932831e-06 2.500000e+01 6.000000e+01
+125 9.250570e-10 5.999497e-06 2.500000e+01 6.000000e+01
+126 9.350570e-10 6.066165e-06 2.500000e+01 6.000000e+01
+127 9.450570e-10 6.132832e-06 2.500000e+01 6.000000e+01
+128 9.550570e-10 6.199498e-06 2.500000e+01 6.000000e+01
+129 9.650570e-10 6.266165e-06 2.500000e+01 6.000000e+01
+130 9.750570e-10 6.332832e-06 2.500000e+01 6.000000e+01
+131 9.850570e-10 6.399497e-06 2.500000e+01 6.000000e+01
+132 9.950570e-10 6.466164e-06 2.500000e+01 6.000000e+01
+133 1.005057e-09 6.532831e-06 2.500000e+01 6.000000e+01
+134 1.015057e-09 6.599497e-06 2.500000e+01 6.000000e+01
+135 1.025057e-09 6.666165e-06 2.500000e+01 6.000000e+01
+136 1.035057e-09 6.732832e-06 2.500000e+01 6.000000e+01
+137 1.045057e-09 6.799498e-06 2.500000e+01 6.000000e+01
+138 1.055057e-09 6.866164e-06 2.500000e+01 6.000000e+01
+139 1.065057e-09 6.932831e-06 2.500000e+01 6.000000e+01
+140 1.075057e-09 6.999498e-06 2.500000e+01 6.000000e+01
+141 1.085057e-09 7.066164e-06 2.500000e+01 6.000000e+01
+142 1.095057e-09 7.132830e-06 2.500000e+01 6.000000e+01
+143 1.105057e-09 7.199496e-06 2.500000e+01 6.000000e+01
+144 1.115057e-09 7.266163e-06 2.500000e+01 6.000000e+01
+145 1.125057e-09 7.332830e-06 2.500000e+01 6.000000e+01
+146 1.135057e-09 7.399497e-06 2.500000e+01 6.000000e+01
+147 1.145057e-09 7.466164e-06 2.500000e+01 6.000000e+01
+148 1.155057e-09 7.532831e-06 2.500000e+01 6.000000e+01
+149 1.165057e-09 7.599498e-06 2.500000e+01 6.000000e+01
+150 1.175057e-09 7.666164e-06 2.500000e+01 6.000000e+01
+151 1.185057e-09 7.732831e-06 2.500000e+01 6.000000e+01
+152 1.195057e-09 7.799498e-06 2.500000e+01 6.000000e+01
+153 1.205057e-09 7.866164e-06 2.500000e+01 6.000000e+01
+154 1.215057e-09 7.932830e-06 2.500000e+01 6.000000e+01
+155 1.225057e-09 7.999497e-06 2.500000e+01 6.000000e+01
+156 1.235057e-09 8.066164e-06 2.500000e+01 6.000000e+01
+157 1.245057e-09 8.132831e-06 2.500000e+01 6.000000e+01
+158 1.255057e-09 8.199497e-06 2.500000e+01 6.000000e+01
+159 1.265057e-09 8.266164e-06 2.500000e+01 6.000000e+01
+160 1.275057e-09 8.332830e-06 2.500000e+01 6.000000e+01
+161 1.285057e-09 8.399498e-06 2.500000e+01 6.000000e+01
+162 1.295057e-09 8.466164e-06 2.500000e+01 6.000000e+01
+163 1.305057e-09 8.532830e-06 2.500000e+01 6.000000e+01
+164 1.315057e-09 8.599498e-06 2.500000e+01 6.000000e+01
+165 1.325057e-09 8.666164e-06 2.500000e+01 6.000000e+01
+166 1.335057e-09 8.732830e-06 2.500000e+01 6.000000e+01
+167 1.345057e-09 8.799496e-06 2.500000e+01 6.000000e+01
+168 1.355057e-09 8.866164e-06 2.500000e+01 6.000000e+01
+169 1.365057e-09 8.932830e-06 2.500000e+01 6.000000e+01
+170 1.375057e-09 8.999496e-06 2.500000e+01 6.000000e+01
+
+Index time V(1) V(2) V(3)
+--------------------------------------------------------------------------------
+171 1.385057e-09 9.066164e-06 2.500000e+01 6.000000e+01
+172 1.395057e-09 9.132829e-06 2.500000e+01 6.000000e+01
+173 1.405057e-09 9.199496e-06 2.500000e+01 6.000000e+01
+174 1.415057e-09 9.266164e-06 2.500000e+01 6.000000e+01
+175 1.425057e-09 9.332831e-06 2.500000e+01 6.000000e+01
+176 1.435057e-09 9.399497e-06 2.500000e+01 6.000000e+01
+177 1.445057e-09 9.466165e-06 2.500000e+01 6.000000e+01
+178 1.455057e-09 9.532830e-06 2.500000e+01 6.000000e+01
+179 1.465057e-09 9.599496e-06 2.500000e+01 6.000000e+01
+180 1.475057e-09 9.666164e-06 2.500000e+01 6.000000e+01
+181 1.485057e-09 9.732829e-06 2.500000e+01 6.000000e+01
+182 1.495057e-09 9.799496e-06 2.500000e+01 6.000000e+01
+183 1.505057e-09 9.866164e-06 2.500000e+01 6.000000e+01
+184 1.515057e-09 9.932829e-06 2.500000e+01 6.000000e+01
+185 1.525057e-09 9.999496e-06 2.500000e+01 6.000000e+01
+186 1.535057e-09 1.006616e-05 2.500000e+01 6.000000e+01
+187 1.545057e-09 1.013283e-05 2.500000e+01 6.000000e+01
+188 1.555057e-09 1.019950e-05 2.500000e+01 6.000000e+01
+189 1.565057e-09 1.026616e-05 2.500000e+01 6.000000e+01
+190 1.575057e-09 1.033283e-05 2.500000e+01 6.000000e+01
+191 1.585057e-09 1.039950e-05 2.500000e+01 6.000000e+01
+192 1.595057e-09 1.046616e-05 2.500000e+01 6.000000e+01
+193 1.605057e-09 1.053283e-05 2.500000e+01 6.000000e+01
+194 1.615057e-09 1.059950e-05 2.500000e+01 6.000000e+01
+195 1.625057e-09 1.066616e-05 2.500000e+01 6.000000e+01
+196 1.635057e-09 1.073283e-05 2.500000e+01 6.000000e+01
+197 1.645057e-09 1.079950e-05 2.500000e+01 6.000000e+01
+198 1.655057e-09 1.086616e-05 2.500000e+01 6.000000e+01
+199 1.665057e-09 1.093283e-05 2.500000e+01 6.000000e+01
+200 1.675057e-09 1.099950e-05 2.500000e+01 6.000000e+01
+201 1.685057e-09 1.106616e-05 2.500000e+01 6.000000e+01
+202 1.695057e-09 1.113283e-05 2.500000e+01 6.000000e+01
+203 1.705057e-09 1.119950e-05 2.500000e+01 6.000000e+01
+204 1.715057e-09 1.126616e-05 2.500000e+01 6.000000e+01
+205 1.725057e-09 1.133283e-05 2.500000e+01 6.000000e+01
+206 1.735057e-09 1.139950e-05 2.500000e+01 6.000000e+01
+207 1.745057e-09 1.146616e-05 2.500000e+01 6.000000e+01
+208 1.755057e-09 1.153283e-05 2.500000e+01 6.000000e+01
+209 1.765057e-09 1.159950e-05 2.500000e+01 6.000000e+01
+210 1.775057e-09 1.166616e-05 2.500000e+01 6.000000e+01
+211 1.785057e-09 1.173283e-05 2.500000e+01 6.000000e+01
+212 1.795057e-09 1.179950e-05 2.500000e+01 6.000000e+01
+213 1.805057e-09 1.186616e-05 2.500000e+01 6.000000e+01
+214 1.815057e-09 1.193283e-05 2.500000e+01 6.000000e+01
+215 1.825057e-09 1.199950e-05 2.500000e+01 6.000000e+01
+216 1.835057e-09 1.206616e-05 2.500000e+01 6.000000e+01
+217 1.845057e-09 1.213283e-05 2.500000e+01 6.000000e+01
+218 1.855057e-09 1.219950e-05 2.500000e+01 6.000000e+01
+219 1.865057e-09 1.226616e-05 2.500000e+01 6.000000e+01
+220 1.875057e-09 1.233283e-05 2.500000e+01 6.000000e+01
+221 1.885057e-09 1.239950e-05 2.500000e+01 6.000000e+01
+222 1.895057e-09 1.246616e-05 2.500000e+01 6.000000e+01
+223 1.905057e-09 1.253283e-05 2.500000e+01 6.000000e+01
+224 1.915057e-09 1.259949e-05 2.500000e+01 6.000000e+01
+225 1.925057e-09 1.266616e-05 2.500000e+01 6.000000e+01
+226 1.935057e-09 1.273283e-05 2.500000e+01 6.000000e+01
+227 1.945057e-09 1.279950e-05 2.500000e+01 6.000000e+01
+228 1.955057e-09 1.286616e-05 2.500000e+01 6.000000e+01
+
+Index time V(1) V(2) V(3)
+--------------------------------------------------------------------------------
+229 1.965057e-09 1.293283e-05 2.500000e+01 6.000000e+01
+230 1.975057e-09 1.299950e-05 2.500000e+01 6.000000e+01
+231 1.985057e-09 1.306616e-05 2.500000e+01 6.000000e+01
+232 1.995057e-09 1.313283e-05 2.500000e+01 6.000000e+01
+233 2.005057e-09 1.319949e-05 2.500000e+01 6.000000e+01
+234 2.015057e-09 1.326616e-05 2.500000e+01 6.000000e+01
+235 2.025057e-09 1.333283e-05 2.500000e+01 6.000000e+01
+236 2.035057e-09 1.339949e-05 2.500000e+01 6.000000e+01
+237 2.045057e-09 1.346616e-05 2.500000e+01 6.000000e+01
+238 2.055057e-09 1.353283e-05 2.500000e+01 6.000000e+01
+239 2.065057e-09 1.359949e-05 2.500000e+01 6.000000e+01
+240 2.075057e-09 1.366616e-05 2.500000e+01 6.000000e+01
+241 2.085057e-09 1.373283e-05 2.500000e+01 6.000000e+01
+242 2.095057e-09 1.379950e-05 2.500000e+01 6.000000e+01
+243 2.105057e-09 1.386616e-05 2.500000e+01 6.000000e+01
+244 2.115057e-09 1.393283e-05 2.500000e+01 6.000000e+01
+245 2.125057e-09 1.399949e-05 2.500000e+01 6.000000e+01
+246 2.135057e-09 1.406616e-05 2.500000e+01 6.000000e+01
+247 2.145057e-09 1.413283e-05 2.500000e+01 6.000000e+01
+248 2.155057e-09 1.419949e-05 2.500000e+01 6.000000e+01
+249 2.165057e-09 1.426616e-05 2.500000e+01 6.000000e+01
+250 2.175057e-09 1.433283e-05 2.500000e+01 6.000000e+01
+251 2.185057e-09 1.439950e-05 2.500000e+01 6.000000e+01
+252 2.195057e-09 1.446616e-05 2.500000e+01 6.000000e+01
+253 2.205057e-09 1.453283e-05 2.500000e+01 6.000000e+01
+254 2.215057e-09 1.459949e-05 2.500000e+01 6.000000e+01
+255 2.225057e-09 1.466616e-05 2.500000e+01 6.000000e+01
+256 2.235057e-09 1.473282e-05 2.500000e+01 6.000000e+01
+257 2.245057e-09 1.479949e-05 2.500000e+01 6.000000e+01
+258 2.255057e-09 1.486616e-05 2.500000e+01 6.000000e+01
+259 2.265057e-09 1.493282e-05 2.500000e+01 6.000000e+01
+260 2.275057e-09 1.499949e-05 2.500000e+01 6.000000e+01
+261 2.285057e-09 1.506616e-05 2.500000e+01 6.000000e+01
+262 2.295057e-09 1.513283e-05 2.500000e+01 6.000000e+01
+263 2.305057e-09 1.519949e-05 2.500000e+01 6.000000e+01
+264 2.315057e-09 1.526616e-05 2.500000e+01 6.000000e+01
+265 2.325057e-09 1.533283e-05 2.500000e+01 6.000000e+01
+266 2.335057e-09 1.539949e-05 2.500000e+01 6.000000e+01
+267 2.345057e-09 1.546616e-05 2.500000e+01 6.000000e+01
+268 2.355057e-09 1.553283e-05 2.500000e+01 6.000000e+01
+269 2.365057e-09 1.559949e-05 2.500000e+01 6.000000e+01
+270 2.375057e-09 1.566616e-05 2.500000e+01 6.000000e+01
+271 2.385057e-09 1.573282e-05 2.500000e+01 6.000000e+01
+272 2.395057e-09 1.579949e-05 2.500000e+01 6.000000e+01
+273 2.405057e-09 1.586616e-05 2.500000e+01 6.000000e+01
+274 2.415057e-09 1.593283e-05 2.500000e+01 6.000000e+01
+275 2.425057e-09 1.599949e-05 2.500000e+01 6.000000e+01
+276 2.435057e-09 1.606616e-05 2.500000e+01 6.000000e+01
+277 2.445057e-09 1.613283e-05 2.500000e+01 6.000000e+01
+278 2.455057e-09 1.619949e-05 2.500000e+01 6.000000e+01
+279 2.465057e-09 1.626616e-05 2.500000e+01 6.000000e+01
+280 2.475057e-09 1.633283e-05 2.500000e+01 6.000000e+01
+281 2.485057e-09 1.639949e-05 2.500000e+01 6.000000e+01
+282 2.495057e-09 1.646616e-05 2.500000e+01 6.000000e+01
+283 2.500000e-09 1.649911e-05 2.500000e+01 6.000000e+01
+
+ * /home/michi/tryprogressbar.oregano
+ Transient Analysis Fri Jun 9 21:36:56 2017
+--------------------------------------------------------------------------------
+Index time V(4) V(5) l_l1#branch
+--------------------------------------------------------------------------------
+0 0.000000e+00 0.000000e+00 0.000000e+00 0.000000e+00
+1 1.000000e-13 2.000000e+01 6.000000e+01 -1.33333e-08
+2 2.000000e-13 2.000000e+01 6.000000e+01 -2.66667e-08
+3 4.000000e-13 2.000000e+01 6.000000e+01 -5.33333e-08
+4 8.000000e-13 2.000000e+01 6.000000e+01 -1.06667e-07
+5 1.600000e-12 2.000000e+01 6.000000e+01 -2.13333e-07
+6 3.200000e-12 2.000000e+01 5.999999e+01 -4.26667e-07
+7 4.666667e-12 2.000000e+01 5.999999e+01 -6.22222e-07
+8 5.358333e-12 2.000000e+01 5.999999e+01 -7.14444e-07
+9 6.568750e-12 2.000000e+01 5.999998e+01 -8.75833e-07
+10 6.903776e-12 2.000000e+01 5.999998e+01 -9.20503e-07
+11 7.490072e-12 2.000000e+01 5.999998e+01 -9.98676e-07
+12 7.652350e-12 2.000000e+01 5.999998e+01 -1.02031e-06
+13 7.936337e-12 2.000000e+01 5.999998e+01 -1.05818e-06
+14 8.014940e-12 2.000000e+01 5.999998e+01 -1.06866e-06
+15 8.152496e-12 2.000000e+01 5.999998e+01 -1.08700e-06
+16 8.190570e-12 2.000000e+01 5.999998e+01 -1.09208e-06
+17 8.257199e-12 2.000000e+01 5.999998e+01 -1.10096e-06
+18 8.275641e-12 2.000000e+01 5.999998e+01 -1.10342e-06
+19 8.307914e-12 2.000000e+01 5.999998e+01 -1.10772e-06
+20 8.339341e-12 2.000000e+01 3.724889e-01 -1.10879e-06
+21 8.368989e-12 2.000000e+01 3.721389e-01 -1.10685e-06
+22 8.415783e-12 2.000000e+01 3.715661e-01 -1.10379e-06
+23 8.462595e-12 2.000000e+01 3.709686e-01 -1.10072e-06
+24 8.556218e-12 2.000000e+01 3.697067e-01 -1.09460e-06
+25 8.743465e-12 2.000000e+01 3.669207e-01 -1.08235e-06
+26 9.117958e-12 2.000000e+01 3.603499e-01 -1.05783e-06
+27 9.866944e-12 2.000000e+01 3.436482e-01 -1.00878e-06
+28 1.000000e-11 2.000000e+01 3.402226e-01 -1.00006e-06
+29 1.014980e-11 2.000000e+01 3.362220e-01 -9.90242e-07
+30 1.044939e-11 2.000000e+01 3.277442e-01 -9.70601e-07
+31 1.104858e-11 2.000000e+01 3.093324e-01 -9.31291e-07
+32 1.224696e-11 2.000000e+01 2.687330e-01 -8.52554e-07
+33 1.464371e-11 2.000000e+01 1.860234e-01 -6.94587e-07
+34 1.943722e-11 2.000000e+01 6.687593e-02 -3.77040e-07
+35 2.680459e-11 2.000000e+01 5.465349e-03 1.132302e-07
+36 3.505704e-11 2.000000e+01 7.712940e-06 6.633178e-07
+37 4.505704e-11 2.000000e+01 -6.02386e-07 1.329984e-06
+38 5.505704e-11 2.000000e+01 2.702691e-07 1.996651e-06
+39 6.505704e-11 2.000000e+01 2.593860e-07 2.663318e-06
+40 7.505704e-11 2.000000e+01 3.334843e-07 3.329984e-06
+41 8.505704e-11 2.000000e+01 3.994362e-07 3.996651e-06
+42 9.505704e-11 2.000000e+01 4.661716e-07 4.663318e-06
+43 1.050570e-10 2.000000e+01 5.328316e-07 5.329984e-06
+44 1.150570e-10 2.000000e+01 5.994989e-07 5.996651e-06
+45 1.250570e-10 2.000000e+01 6.661655e-07 6.663318e-06
+46 1.350570e-10 2.000000e+01 7.328321e-07 7.329984e-06
+47 1.450570e-10 2.000000e+01 7.994989e-07 7.996651e-06
+48 1.550570e-10 2.000000e+01 8.661655e-07 8.663318e-06
+49 1.650570e-10 2.000000e+01 9.328322e-07 9.329984e-06
+50 1.750570e-10 2.000000e+01 9.994988e-07 9.996651e-06
+51 1.850570e-10 2.000000e+01 1.066166e-06 1.066332e-05
+52 1.950570e-10 2.000000e+01 1.132832e-06 1.132998e-05
+53 2.050570e-10 2.000000e+01 1.199499e-06 1.199665e-05
+54 2.150570e-10 2.000000e+01 1.266165e-06 1.266332e-05
+
+Index time V(4) V(5) l_l1#branch
+--------------------------------------------------------------------------------
+55 2.250570e-10 2.000000e+01 1.332832e-06 1.332998e-05
+56 2.350570e-10 2.000000e+01 1.399499e-06 1.399665e-05
+57 2.450570e-10 2.000000e+01 1.466165e-06 1.466332e-05
+58 2.550570e-10 2.000000e+01 1.532832e-06 1.532998e-05
+59 2.650570e-10 2.000000e+01 1.599499e-06 1.599665e-05
+60 2.750570e-10 2.000000e+01 1.666165e-06 1.666332e-05
+61 2.850570e-10 2.000000e+01 1.732832e-06 1.732998e-05
+62 2.950570e-10 2.000000e+01 1.799499e-06 1.799665e-05
+63 3.050570e-10 2.000000e+01 1.866165e-06 1.866332e-05
+64 3.150570e-10 2.000000e+01 1.932832e-06 1.932998e-05
+65 3.250570e-10 2.000000e+01 1.999499e-06 1.999665e-05
+66 3.350570e-10 2.000000e+01 2.066165e-06 2.066332e-05
+67 3.450570e-10 2.000000e+01 2.132832e-06 2.132998e-05
+68 3.550570e-10 2.000000e+01 2.199499e-06 2.199665e-05
+69 3.650570e-10 2.000000e+01 2.266165e-06 2.266332e-05
+70 3.750570e-10 2.000000e+01 2.332832e-06 2.332998e-05
+71 3.850570e-10 2.000000e+01 2.399499e-06 2.399665e-05
+72 3.950570e-10 2.000000e+01 2.466165e-06 2.466332e-05
+73 4.050570e-10 2.000000e+01 2.532832e-06 2.532998e-05
+74 4.150570e-10 2.000000e+01 2.599499e-06 2.599665e-05
+75 4.250570e-10 2.000000e+01 2.666166e-06 2.666332e-05
+76 4.350570e-10 2.000000e+01 2.732832e-06 2.732998e-05
+77 4.450570e-10 2.000000e+01 2.799499e-06 2.799665e-05
+78 4.550570e-10 2.000000e+01 2.866166e-06 2.866332e-05
+79 4.650570e-10 2.000000e+01 2.932832e-06 2.932998e-05
+80 4.750570e-10 2.000000e+01 2.999499e-06 2.999665e-05
+81 4.850570e-10 2.000000e+01 3.066165e-06 3.066332e-05
+82 4.950570e-10 2.000000e+01 3.132832e-06 3.132998e-05
+83 5.050570e-10 2.000000e+01 3.199498e-06 3.199665e-05
+84 5.150570e-10 2.000000e+01 3.266165e-06 3.266332e-05
+85 5.250570e-10 2.000000e+01 3.332832e-06 3.332998e-05
+86 5.350570e-10 2.000000e+01 3.399499e-06 3.399665e-05
+87 5.450570e-10 2.000000e+01 3.466166e-06 3.466331e-05
+88 5.550570e-10 2.000000e+01 3.532832e-06 3.532998e-05
+89 5.650570e-10 2.000000e+01 3.599499e-06 3.599665e-05
+90 5.750570e-10 2.000000e+01 3.666165e-06 3.666331e-05
+91 5.850570e-10 2.000000e+01 3.732832e-06 3.732998e-05
+92 5.950570e-10 2.000000e+01 3.799498e-06 3.799665e-05
+93 6.050570e-10 2.000000e+01 3.866165e-06 3.866331e-05
+94 6.150570e-10 2.000000e+01 3.932832e-06 3.932998e-05
+95 6.250570e-10 2.000000e+01 3.999498e-06 3.999665e-05
+96 6.350570e-10 2.000000e+01 4.066165e-06 4.066331e-05
+97 6.450570e-10 2.000000e+01 4.132832e-06 4.132998e-05
+98 6.550570e-10 2.000000e+01 4.199499e-06 4.199665e-05
+99 6.650570e-10 2.000000e+01 4.266165e-06 4.266331e-05
+100 6.750570e-10 2.000000e+01 4.332832e-06 4.332998e-05
+101 6.850570e-10 2.000000e+01 4.399498e-06 4.399665e-05
+102 6.950570e-10 2.000000e+01 4.466165e-06 4.466331e-05
+103 7.050570e-10 2.000000e+01 4.532832e-06 4.532998e-05
+104 7.150570e-10 2.000000e+01 4.599498e-06 4.599665e-05
+105 7.250570e-10 2.000000e+01 4.666165e-06 4.666331e-05
+106 7.350570e-10 2.000000e+01 4.732832e-06 4.732998e-05
+107 7.450570e-10 2.000000e+01 4.799499e-06 4.799665e-05
+108 7.550570e-10 2.000000e+01 4.866165e-06 4.866331e-05
+109 7.650570e-10 2.000000e+01 4.932831e-06 4.932998e-05
+110 7.750570e-10 2.000000e+01 4.999498e-06 4.999664e-05
+111 7.850570e-10 2.000000e+01 5.066164e-06 5.066331e-05
+112 7.950570e-10 2.000000e+01 5.132831e-06 5.132998e-05
+
+Index time V(4) V(5) l_l1#branch
+--------------------------------------------------------------------------------
+113 8.050570e-10 2.000000e+01 5.199498e-06 5.199664e-05
+114 8.150570e-10 2.000000e+01 5.266165e-06 5.266331e-05
+115 8.250570e-10 2.000000e+01 5.332831e-06 5.332998e-05
+116 8.350570e-10 2.000000e+01 5.399498e-06 5.399664e-05
+117 8.450570e-10 2.000000e+01 5.466165e-06 5.466331e-05
+118 8.550570e-10 2.000000e+01 5.532831e-06 5.532998e-05
+119 8.650570e-10 2.000000e+01 5.599498e-06 5.599664e-05
+120 8.750570e-10 2.000000e+01 5.666165e-06 5.666331e-05
+121 8.850570e-10 2.000000e+01 5.732831e-06 5.732998e-05
+122 8.950570e-10 2.000000e+01 5.799498e-06 5.799664e-05
+123 9.050570e-10 2.000000e+01 5.866165e-06 5.866331e-05
+124 9.150570e-10 2.000000e+01 5.932831e-06 5.932998e-05
+125 9.250570e-10 2.000000e+01 5.999497e-06 5.999664e-05
+126 9.350570e-10 2.000000e+01 6.066165e-06 6.066331e-05
+127 9.450570e-10 2.000000e+01 6.132832e-06 6.132997e-05
+128 9.550570e-10 2.000000e+01 6.199498e-06 6.199664e-05
+129 9.650570e-10 2.000000e+01 6.266165e-06 6.266331e-05
+130 9.750570e-10 2.000000e+01 6.332832e-06 6.332997e-05
+131 9.850570e-10 2.000000e+01 6.399497e-06 6.399664e-05
+132 9.950570e-10 2.000000e+01 6.466164e-06 6.466331e-05
+133 1.005057e-09 2.000000e+01 6.532831e-06 6.532997e-05
+134 1.015057e-09 2.000000e+01 6.599497e-06 6.599664e-05
+135 1.025057e-09 2.000000e+01 6.666165e-06 6.666331e-05
+136 1.035057e-09 2.000000e+01 6.732832e-06 6.732997e-05
+137 1.045057e-09 2.000000e+01 6.799498e-06 6.799664e-05
+138 1.055057e-09 2.000000e+01 6.866164e-06 6.866331e-05
+139 1.065057e-09 2.000000e+01 6.932831e-06 6.932997e-05
+140 1.075057e-09 2.000000e+01 6.999498e-06 6.999664e-05
+141 1.085057e-09 2.000000e+01 7.066164e-06 7.066331e-05
+142 1.095057e-09 2.000000e+01 7.132830e-06 7.132997e-05
+143 1.105057e-09 2.000000e+01 7.199496e-06 7.199664e-05
+144 1.115057e-09 2.000000e+01 7.266163e-06 7.266330e-05
+145 1.125057e-09 2.000000e+01 7.332830e-06 7.332997e-05
+146 1.135057e-09 2.000000e+01 7.399497e-06 7.399664e-05
+147 1.145057e-09 2.000000e+01 7.466164e-06 7.466330e-05
+148 1.155057e-09 2.000000e+01 7.532831e-06 7.532997e-05
+149 1.165057e-09 2.000000e+01 7.599498e-06 7.599664e-05
+150 1.175057e-09 2.000000e+01 7.666164e-06 7.666330e-05
+151 1.185057e-09 2.000000e+01 7.732831e-06 7.732997e-05
+152 1.195057e-09 2.000000e+01 7.799498e-06 7.799664e-05
+153 1.205057e-09 2.000000e+01 7.866164e-06 7.866330e-05
+154 1.215057e-09 2.000000e+01 7.932830e-06 7.932997e-05
+155 1.225057e-09 2.000000e+01 7.999497e-06 7.999664e-05
+156 1.235057e-09 2.000000e+01 8.066164e-06 8.066330e-05
+157 1.245057e-09 2.000000e+01 8.132831e-06 8.132997e-05
+158 1.255057e-09 2.000000e+01 8.199497e-06 8.199663e-05
+159 1.265057e-09 2.000000e+01 8.266164e-06 8.266330e-05
+160 1.275057e-09 2.000000e+01 8.332830e-06 8.332997e-05
+161 1.285057e-09 2.000000e+01 8.399498e-06 8.399663e-05
+162 1.295057e-09 2.000000e+01 8.466164e-06 8.466330e-05
+163 1.305057e-09 2.000000e+01 8.532830e-06 8.532997e-05
+164 1.315057e-09 2.000000e+01 8.599498e-06 8.599663e-05
+165 1.325057e-09 2.000000e+01 8.666164e-06 8.666330e-05
+166 1.335057e-09 2.000000e+01 8.732830e-06 8.732997e-05
+167 1.345057e-09 2.000000e+01 8.799496e-06 8.799663e-05
+168 1.355057e-09 2.000000e+01 8.866164e-06 8.866330e-05
+169 1.365057e-09 2.000000e+01 8.932830e-06 8.932996e-05
+170 1.375057e-09 2.000000e+01 8.999496e-06 8.999663e-05
+
+Index time V(4) V(5) l_l1#branch
+--------------------------------------------------------------------------------
+171 1.385057e-09 2.000000e+01 9.066164e-06 9.066330e-05
+172 1.395057e-09 2.000000e+01 9.132829e-06 9.132996e-05
+173 1.405057e-09 2.000000e+01 9.199496e-06 9.199663e-05
+174 1.415057e-09 2.000000e+01 9.266164e-06 9.266330e-05
+175 1.425057e-09 2.000000e+01 9.332831e-06 9.332996e-05
+176 1.435057e-09 2.000000e+01 9.399497e-06 9.399663e-05
+177 1.445057e-09 2.000000e+01 9.466165e-06 9.466330e-05
+178 1.455057e-09 2.000000e+01 9.532830e-06 9.532996e-05
+179 1.465057e-09 2.000000e+01 9.599496e-06 9.599663e-05
+180 1.475057e-09 2.000000e+01 9.666164e-06 9.666329e-05
+181 1.485057e-09 2.000000e+01 9.732829e-06 9.732996e-05
+182 1.495057e-09 2.000000e+01 9.799496e-06 9.799663e-05
+183 1.505057e-09 2.000000e+01 9.866164e-06 9.866329e-05
+184 1.515057e-09 2.000000e+01 9.932829e-06 9.932996e-05
+185 1.525057e-09 2.000000e+01 9.999496e-06 9.999663e-05
+186 1.535057e-09 2.000000e+01 1.006616e-05 1.006633e-04
+187 1.545057e-09 2.000000e+01 1.013283e-05 1.013300e-04
+188 1.555057e-09 2.000000e+01 1.019950e-05 1.019966e-04
+189 1.565057e-09 2.000000e+01 1.026616e-05 1.026633e-04
+190 1.575057e-09 2.000000e+01 1.033283e-05 1.033300e-04
+191 1.585057e-09 2.000000e+01 1.039950e-05 1.039966e-04
+192 1.595057e-09 2.000000e+01 1.046616e-05 1.046633e-04
+193 1.605057e-09 2.000000e+01 1.053283e-05 1.053300e-04
+194 1.615057e-09 2.000000e+01 1.059950e-05 1.059966e-04
+195 1.625057e-09 2.000000e+01 1.066616e-05 1.066633e-04
+196 1.635057e-09 2.000000e+01 1.073283e-05 1.073300e-04
+197 1.645057e-09 2.000000e+01 1.079950e-05 1.079966e-04
+198 1.655057e-09 2.000000e+01 1.086616e-05 1.086633e-04
+199 1.665057e-09 2.000000e+01 1.093283e-05 1.093300e-04
+200 1.675057e-09 2.000000e+01 1.099950e-05 1.099966e-04
+201 1.685057e-09 2.000000e+01 1.106616e-05 1.106633e-04
+202 1.695057e-09 2.000000e+01 1.113283e-05 1.113300e-04
+203 1.705057e-09 2.000000e+01 1.119950e-05 1.119966e-04
+204 1.715057e-09 2.000000e+01 1.126616e-05 1.126633e-04
+205 1.725057e-09 2.000000e+01 1.133283e-05 1.133300e-04
+206 1.735057e-09 2.000000e+01 1.139950e-05 1.139966e-04
+207 1.745057e-09 2.000000e+01 1.146616e-05 1.146633e-04
+208 1.755057e-09 2.000000e+01 1.153283e-05 1.153300e-04
+209 1.765057e-09 2.000000e+01 1.159950e-05 1.159966e-04
+210 1.775057e-09 2.000000e+01 1.166616e-05 1.166633e-04
+211 1.785057e-09 2.000000e+01 1.173283e-05 1.173299e-04
+212 1.795057e-09 2.000000e+01 1.179950e-05 1.179966e-04
+213 1.805057e-09 2.000000e+01 1.186616e-05 1.186633e-04
+214 1.815057e-09 2.000000e+01 1.193283e-05 1.193299e-04
+215 1.825057e-09 2.000000e+01 1.199950e-05 1.199966e-04
+216 1.835057e-09 2.000000e+01 1.206616e-05 1.206633e-04
+217 1.845057e-09 2.000000e+01 1.213283e-05 1.213299e-04
+218 1.855057e-09 2.000000e+01 1.219950e-05 1.219966e-04
+219 1.865057e-09 2.000000e+01 1.226616e-05 1.226633e-04
+220 1.875057e-09 2.000000e+01 1.233283e-05 1.233299e-04
+221 1.885057e-09 2.000000e+01 1.239950e-05 1.239966e-04
+222 1.895057e-09 2.000000e+01 1.246616e-05 1.246633e-04
+223 1.905057e-09 2.000000e+01 1.253283e-05 1.253299e-04
+224 1.915057e-09 2.000000e+01 1.259949e-05 1.259966e-04
+225 1.925057e-09 2.000000e+01 1.266616e-05 1.266633e-04
+226 1.935057e-09 2.000000e+01 1.273283e-05 1.273299e-04
+227 1.945057e-09 2.000000e+01 1.279950e-05 1.279966e-04
+228 1.955057e-09 2.000000e+01 1.286616e-05 1.286633e-04
+
+Index time V(4) V(5) l_l1#branch
+--------------------------------------------------------------------------------
+229 1.965057e-09 2.000000e+01 1.293283e-05 1.293299e-04
+230 1.975057e-09 2.000000e+01 1.299950e-05 1.299966e-04
+231 1.985057e-09 2.000000e+01 1.306616e-05 1.306633e-04
+232 1.995057e-09 2.000000e+01 1.313283e-05 1.313299e-04
+233 2.005057e-09 2.000000e+01 1.319949e-05 1.319966e-04
+234 2.015057e-09 2.000000e+01 1.326616e-05 1.326633e-04
+235 2.025057e-09 2.000000e+01 1.333283e-05 1.333299e-04
+236 2.035057e-09 2.000000e+01 1.339949e-05 1.339966e-04
+237 2.045057e-09 2.000000e+01 1.346616e-05 1.346633e-04
+238 2.055057e-09 2.000000e+01 1.353283e-05 1.353299e-04
+239 2.065057e-09 2.000000e+01 1.359949e-05 1.359966e-04
+240 2.075057e-09 2.000000e+01 1.366616e-05 1.366633e-04
+241 2.085057e-09 2.000000e+01 1.373283e-05 1.373299e-04
+242 2.095057e-09 2.000000e+01 1.379950e-05 1.379966e-04
+243 2.105057e-09 2.000000e+01 1.386616e-05 1.386633e-04
+244 2.115057e-09 2.000000e+01 1.393283e-05 1.393299e-04
+245 2.125057e-09 2.000000e+01 1.399949e-05 1.399966e-04
+246 2.135057e-09 2.000000e+01 1.406616e-05 1.406633e-04
+247 2.145057e-09 2.000000e+01 1.413283e-05 1.413299e-04
+248 2.155057e-09 2.000000e+01 1.419949e-05 1.419966e-04
+249 2.165057e-09 2.000000e+01 1.426616e-05 1.426633e-04
+250 2.175057e-09 2.000000e+01 1.433283e-05 1.433299e-04
+251 2.185057e-09 2.000000e+01 1.439950e-05 1.439966e-04
+252 2.195057e-09 2.000000e+01 1.446616e-05 1.446633e-04
+253 2.205057e-09 2.000000e+01 1.453283e-05 1.453299e-04
+254 2.215057e-09 2.000000e+01 1.459949e-05 1.459966e-04
+255 2.225057e-09 2.000000e+01 1.466616e-05 1.466633e-04
+256 2.235057e-09 2.000000e+01 1.473282e-05 1.473299e-04
+257 2.245057e-09 2.000000e+01 1.479949e-05 1.479966e-04
+258 2.255057e-09 2.000000e+01 1.486616e-05 1.486633e-04
+259 2.265057e-09 2.000000e+01 1.493282e-05 1.493299e-04
+260 2.275057e-09 2.000000e+01 1.499949e-05 1.499966e-04
+261 2.285057e-09 2.000000e+01 1.506616e-05 1.506633e-04
+262 2.295057e-09 2.000000e+01 1.513283e-05 1.513299e-04
+263 2.305057e-09 2.000000e+01 1.519949e-05 1.519966e-04
+264 2.315057e-09 2.000000e+01 1.526616e-05 1.526633e-04
+265 2.325057e-09 2.000000e+01 1.533283e-05 1.533299e-04
+266 2.335057e-09 2.000000e+01 1.539949e-05 1.539966e-04
+267 2.345057e-09 2.000000e+01 1.546616e-05 1.546633e-04
+268 2.355057e-09 2.000000e+01 1.553283e-05 1.553299e-04
+269 2.365057e-09 2.000000e+01 1.559949e-05 1.559966e-04
+270 2.375057e-09 2.000000e+01 1.566616e-05 1.566633e-04
+271 2.385057e-09 2.000000e+01 1.573282e-05 1.573299e-04
+272 2.395057e-09 2.000000e+01 1.579949e-05 1.579966e-04
+273 2.405057e-09 2.000000e+01 1.586616e-05 1.586633e-04
+274 2.415057e-09 2.000000e+01 1.593283e-05 1.593299e-04
+275 2.425057e-09 2.000000e+01 1.599949e-05 1.599966e-04
+276 2.435057e-09 2.000000e+01 1.606616e-05 1.606633e-04
+277 2.445057e-09 2.000000e+01 1.613283e-05 1.613299e-04
+278 2.455057e-09 2.000000e+01 1.619949e-05 1.619966e-04
+279 2.465057e-09 2.000000e+01 1.626616e-05 1.626633e-04
+280 2.475057e-09 2.000000e+01 1.633283e-05 1.633299e-04
+281 2.485057e-09 2.000000e+01 1.639949e-05 1.639966e-04
+282 2.495057e-09 2.000000e+01 1.646616e-05 1.646632e-04
+283 2.500000e-09 2.000000e+01 1.649911e-05 1.649928e-04
+
+ * /home/michi/tryprogressbar.oregano
+ Transient Analysis Fri Jun 9 21:36:56 2017
+--------------------------------------------------------------------------------
+Index time v_v1#branch v_v2#branch v_v3#branch
+--------------------------------------------------------------------------------
+0 0.000000e+00 0.000000e+00 0.000000e+00 0.000000e+00
+1 1.000000e-13 1.333333e-08 0.000000e+00 1.393333e-08
+2 2.000000e-13 2.666667e-08 0.000000e+00 2.726667e-08
+3 4.000000e-13 5.333333e-08 0.000000e+00 5.393333e-08
+4 8.000000e-13 1.066667e-07 0.000000e+00 1.072667e-07
+5 1.600000e-12 2.133333e-07 0.000000e+00 2.139333e-07
+6 3.200000e-12 4.266666e-07 0.000000e+00 4.272666e-07
+7 4.666667e-12 6.222221e-07 0.000000e+00 6.228221e-07
+8 5.358333e-12 7.144443e-07 0.000000e+00 7.150443e-07
+9 6.568750e-12 8.758332e-07 0.000000e+00 8.764332e-07
+10 6.903776e-12 9.205033e-07 0.000000e+00 9.211033e-07
+11 7.490072e-12 9.986760e-07 0.000000e+00 9.992760e-07
+12 7.652350e-12 1.020313e-06 0.000000e+00 1.020913e-06
+13 7.936337e-12 1.058178e-06 0.000000e+00 1.058778e-06
+14 8.014940e-12 1.068658e-06 0.000000e+00 1.069258e-06
+15 8.152496e-12 1.086999e-06 0.000000e+00 1.087599e-06
+16 8.190570e-12 1.092076e-06 0.000000e+00 1.092676e-06
+17 8.257199e-12 1.100960e-06 0.000000e+00 1.101560e-06
+18 8.275641e-12 1.103418e-06 0.000000e+00 1.104019e-06
+19 8.307914e-12 1.107722e-06 0.000000e+00 1.108322e-06
+20 8.339341e-12 1.108789e-06 0.000000e+00 3.724890e+00
+21 8.368989e-12 1.106849e-06 0.000000e+00 3.721390e+00
+22 8.415783e-12 1.103787e-06 0.000000e+00 3.715662e+00
+23 8.462595e-12 1.100724e-06 0.000000e+00 3.709687e+00
+24 8.556218e-12 1.094598e-06 0.000000e+00 3.697069e+00
+25 8.743465e-12 1.082345e-06 0.000000e+00 3.669208e+00
+26 9.117958e-12 1.057833e-06 0.000000e+00 3.603500e+00
+27 9.866944e-12 1.008779e-06 0.000000e+00 3.436483e+00
+28 1.000000e-11 1.000061e-06 0.000000e+00 3.402227e+00
+29 1.014980e-11 9.902420e-07 0.000000e+00 3.362221e+00
+30 1.044939e-11 9.706006e-07 0.000000e+00 3.277443e+00
+31 1.104858e-11 9.312909e-07 0.000000e+00 3.093325e+00
+32 1.224696e-11 8.525536e-07 0.000000e+00 2.687331e+00
+33 1.464371e-11 6.945865e-07 0.000000e+00 1.860234e+00
+34 1.943722e-11 3.770397e-07 0.000000e+00 6.687597e-01
+35 2.680459e-11 -1.13230e-07 0.000000e+00 5.465338e-02
+36 3.505704e-11 -6.63318e-07 0.000000e+00 7.646608e-05
+37 4.505704e-11 -1.32998e-06 0.000000e+00 -7.35384e-06
+38 5.505704e-11 -1.99665e-06 0.000000e+00 7.060396e-07
+39 6.505704e-11 -2.66332e-06 0.000000e+00 -6.94583e-08
+40 7.505704e-11 -3.32998e-06 0.000000e+00 4.858916e-09
+41 8.505704e-11 -3.99665e-06 0.000000e+00 -2.28947e-09
+42 9.505704e-11 -4.66332e-06 0.000000e+00 -1.60213e-09
+43 1.050570e-10 -5.32998e-06 0.000000e+00 -1.66822e-09
+44 1.150570e-10 -5.99665e-06 0.000000e+00 -1.66186e-09
+45 1.250570e-10 -6.66332e-06 0.000000e+00 -1.66248e-09
+46 1.350570e-10 -7.32998e-06 0.000000e+00 -1.66242e-09
+47 1.450570e-10 -7.99665e-06 0.000000e+00 -1.66243e-09
+48 1.550570e-10 -8.66332e-06 0.000000e+00 -1.66242e-09
+49 1.650570e-10 -9.32998e-06 0.000000e+00 -1.66243e-09
+50 1.750570e-10 -9.99665e-06 0.000000e+00 -1.66242e-09
+51 1.850570e-10 -1.06633e-05 0.000000e+00 -1.66242e-09
+52 1.950570e-10 -1.13300e-05 0.000000e+00 -1.66243e-09
+53 2.050570e-10 -1.19967e-05 0.000000e+00 -1.66242e-09
+54 2.150570e-10 -1.26633e-05 0.000000e+00 -1.66242e-09
+
+Index time v_v1#branch v_v2#branch v_v3#branch
+--------------------------------------------------------------------------------
+55 2.250570e-10 -1.33300e-05 0.000000e+00 -1.66242e-09
+56 2.350570e-10 -1.39967e-05 0.000000e+00 -1.66242e-09
+57 2.450570e-10 -1.46633e-05 0.000000e+00 -1.66242e-09
+58 2.550570e-10 -1.53300e-05 0.000000e+00 -1.66243e-09
+59 2.650570e-10 -1.59967e-05 0.000000e+00 -1.66242e-09
+60 2.750570e-10 -1.66633e-05 0.000000e+00 -1.66242e-09
+61 2.850570e-10 -1.73300e-05 0.000000e+00 -1.66242e-09
+62 2.950570e-10 -1.79967e-05 0.000000e+00 -1.66243e-09
+63 3.050570e-10 -1.86633e-05 0.000000e+00 -1.66242e-09
+64 3.150570e-10 -1.93300e-05 0.000000e+00 -1.66241e-09
+65 3.250570e-10 -1.99967e-05 0.000000e+00 -1.66243e-09
+66 3.350570e-10 -2.06633e-05 0.000000e+00 -1.66242e-09
+67 3.450570e-10 -2.13300e-05 0.000000e+00 -1.66242e-09
+68 3.550570e-10 -2.19966e-05 0.000000e+00 -1.66243e-09
+69 3.650570e-10 -2.26633e-05 0.000000e+00 -1.66242e-09
+70 3.750570e-10 -2.33300e-05 0.000000e+00 -1.66242e-09
+71 3.850570e-10 -2.39966e-05 0.000000e+00 -1.66244e-09
+72 3.950570e-10 -2.46633e-05 0.000000e+00 -1.66242e-09
+73 4.050570e-10 -2.53300e-05 0.000000e+00 -1.66242e-09
+74 4.150570e-10 -2.59966e-05 0.000000e+00 -1.66243e-09
+75 4.250570e-10 -2.66633e-05 0.000000e+00 -1.66242e-09
+76 4.350570e-10 -2.73300e-05 0.000000e+00 -1.66241e-09
+77 4.450570e-10 -2.79966e-05 0.000000e+00 -1.66242e-09
+78 4.550570e-10 -2.86633e-05 0.000000e+00 -1.66244e-09
+79 4.650570e-10 -2.93300e-05 0.000000e+00 -1.66242e-09
+80 4.750570e-10 -2.99966e-05 0.000000e+00 -1.66242e-09
+81 4.850570e-10 -3.06633e-05 0.000000e+00 -1.66243e-09
+82 4.950570e-10 -3.13300e-05 0.000000e+00 -1.66241e-09
+83 5.050570e-10 -3.19966e-05 0.000000e+00 -1.66243e-09
+84 5.150570e-10 -3.26633e-05 0.000000e+00 -1.66243e-09
+85 5.250570e-10 -3.33300e-05 0.000000e+00 -1.66242e-09
+86 5.350570e-10 -3.39966e-05 0.000000e+00 -1.66242e-09
+87 5.450570e-10 -3.46633e-05 0.000000e+00 -1.66243e-09
+88 5.550570e-10 -3.53300e-05 0.000000e+00 -1.66241e-09
+89 5.650570e-10 -3.59966e-05 0.000000e+00 -1.66242e-09
+90 5.750570e-10 -3.66633e-05 0.000000e+00 -1.66240e-09
+91 5.850570e-10 -3.73300e-05 0.000000e+00 -1.66246e-09
+92 5.950570e-10 -3.79966e-05 0.000000e+00 -1.66240e-09
+93 6.050570e-10 -3.86633e-05 0.000000e+00 -1.66242e-09
+94 6.150570e-10 -3.93300e-05 0.000000e+00 -1.66244e-09
+95 6.250570e-10 -3.99966e-05 0.000000e+00 -1.66242e-09
+96 6.350570e-10 -4.06633e-05 0.000000e+00 -1.66242e-09
+97 6.450570e-10 -4.13300e-05 0.000000e+00 -1.66243e-09
+98 6.550570e-10 -4.19966e-05 0.000000e+00 -1.66243e-09
+99 6.650570e-10 -4.26633e-05 0.000000e+00 -1.66241e-09
+100 6.750570e-10 -4.33300e-05 0.000000e+00 -1.66243e-09
+101 6.850570e-10 -4.39966e-05 0.000000e+00 -1.66242e-09
+102 6.950570e-10 -4.46633e-05 0.000000e+00 -1.66242e-09
+103 7.050570e-10 -4.53300e-05 0.000000e+00 -1.66244e-09
+104 7.150570e-10 -4.59966e-05 0.000000e+00 -1.66241e-09
+105 7.250570e-10 -4.66633e-05 0.000000e+00 -1.66242e-09
+106 7.350570e-10 -4.73300e-05 0.000000e+00 -1.66244e-09
+107 7.450570e-10 -4.79966e-05 0.000000e+00 -1.66241e-09
+108 7.550570e-10 -4.86633e-05 0.000000e+00 -1.66243e-09
+109 7.650570e-10 -4.93300e-05 0.000000e+00 -1.66240e-09
+110 7.750570e-10 -4.99966e-05 0.000000e+00 -1.66243e-09
+111 7.850570e-10 -5.06633e-05 0.000000e+00 -1.66241e-09
+112 7.950570e-10 -5.13300e-05 0.000000e+00 -1.66243e-09
+
+Index time v_v1#branch v_v2#branch v_v3#branch
+--------------------------------------------------------------------------------
+113 8.050570e-10 -5.19966e-05 0.000000e+00 -1.66244e-09
+114 8.150570e-10 -5.26633e-05 0.000000e+00 -1.66241e-09
+115 8.250570e-10 -5.33300e-05 0.000000e+00 -1.66242e-09
+116 8.350570e-10 -5.39966e-05 0.000000e+00 -1.66243e-09
+117 8.450570e-10 -5.46633e-05 0.000000e+00 -1.66244e-09
+118 8.550570e-10 -5.53300e-05 0.000000e+00 -1.66240e-09
+119 8.650570e-10 -5.59966e-05 0.000000e+00 -1.66243e-09
+120 8.750570e-10 -5.66633e-05 0.000000e+00 -1.66242e-09
+121 8.850570e-10 -5.73300e-05 0.000000e+00 -1.66241e-09
+122 8.950570e-10 -5.79966e-05 0.000000e+00 -1.66243e-09
+123 9.050570e-10 -5.86633e-05 0.000000e+00 -1.66244e-09
+124 9.150570e-10 -5.93300e-05 0.000000e+00 -1.66241e-09
+125 9.250570e-10 -5.99966e-05 0.000000e+00 -1.66240e-09
+126 9.350570e-10 -6.06633e-05 0.000000e+00 -1.66244e-09
+127 9.450570e-10 -6.13300e-05 0.000000e+00 -1.66244e-09
+128 9.550570e-10 -6.19966e-05 0.000000e+00 -1.66240e-09
+129 9.650570e-10 -6.26633e-05 0.000000e+00 -1.66243e-09
+130 9.750570e-10 -6.33300e-05 0.000000e+00 -1.66244e-09
+131 9.850570e-10 -6.39966e-05 0.000000e+00 -1.66237e-09
+132 9.950570e-10 -6.46633e-05 0.000000e+00 -1.66246e-09
+133 1.005057e-09 -6.53300e-05 0.000000e+00 -1.66241e-09
+134 1.015057e-09 -6.59966e-05 0.000000e+00 -1.66242e-09
+135 1.025057e-09 -6.66633e-05 0.000000e+00 -1.66245e-09
+136 1.035057e-09 -6.73300e-05 0.000000e+00 -1.66243e-09
+137 1.045057e-09 -6.79966e-05 0.000000e+00 -1.66240e-09
+138 1.055057e-09 -6.86633e-05 0.000000e+00 -1.66240e-09
+139 1.065057e-09 -6.93300e-05 0.000000e+00 -1.66243e-09
+140 1.075057e-09 -6.99966e-05 0.000000e+00 -1.66243e-09
+141 1.085057e-09 -7.06633e-05 0.000000e+00 -1.66243e-09
+142 1.095057e-09 -7.13300e-05 0.000000e+00 -1.66240e-09
+143 1.105057e-09 -7.19966e-05 0.000000e+00 -1.66240e-09
+144 1.115057e-09 -7.26633e-05 0.000000e+00 -1.66243e-09
+145 1.125057e-09 -7.33300e-05 0.000000e+00 -1.66243e-09
+146 1.135057e-09 -7.39966e-05 0.000000e+00 -1.66243e-09
+147 1.145057e-09 -7.46633e-05 0.000000e+00 -1.66243e-09
+148 1.155057e-09 -7.53300e-05 0.000000e+00 -1.66243e-09
+149 1.165057e-09 -7.59966e-05 0.000000e+00 -1.66243e-09
+150 1.175057e-09 -7.66633e-05 0.000000e+00 -1.66243e-09
+151 1.185057e-09 -7.73300e-05 0.000000e+00 -1.66243e-09
+152 1.195057e-09 -7.79966e-05 0.000000e+00 -1.66243e-09
+153 1.205057e-09 -7.86633e-05 0.000000e+00 -1.66240e-09
+154 1.215057e-09 -7.93300e-05 0.000000e+00 -1.66241e-09
+155 1.225057e-09 -7.99966e-05 0.000000e+00 -1.66243e-09
+156 1.235057e-09 -8.06633e-05 0.000000e+00 -1.66243e-09
+157 1.245057e-09 -8.13300e-05 0.000000e+00 -1.66243e-09
+158 1.255057e-09 -8.19966e-05 0.000000e+00 -1.66240e-09
+159 1.265057e-09 -8.26633e-05 0.000000e+00 -1.66243e-09
+160 1.275057e-09 -8.33300e-05 0.000000e+00 -1.66243e-09
+161 1.285057e-09 -8.39966e-05 0.000000e+00 -1.66245e-09
+162 1.295057e-09 -8.46633e-05 0.000000e+00 -1.66240e-09
+163 1.305057e-09 -8.53300e-05 0.000000e+00 -1.66241e-09
+164 1.315057e-09 -8.59966e-05 0.000000e+00 -1.66246e-09
+165 1.325057e-09 -8.66633e-05 0.000000e+00 -1.66240e-09
+166 1.335057e-09 -8.73300e-05 0.000000e+00 -1.66241e-09
+167 1.345057e-09 -8.79966e-05 0.000000e+00 -1.66241e-09
+168 1.355057e-09 -8.86633e-05 0.000000e+00 -1.66246e-09
+169 1.365057e-09 -8.93300e-05 0.000000e+00 -1.66242e-09
+170 1.375057e-09 -8.99966e-05 0.000000e+00 -1.66237e-09
+
+Index time v_v1#branch v_v2#branch v_v3#branch
+--------------------------------------------------------------------------------
+171 1.385057e-09 -9.06633e-05 0.000000e+00 -1.66248e-09
+172 1.395057e-09 -9.13300e-05 0.000000e+00 -1.66237e-09
+173 1.405057e-09 -9.19966e-05 0.000000e+00 -1.66243e-09
+174 1.415057e-09 -9.26633e-05 0.000000e+00 -1.66245e-09
+175 1.425057e-09 -9.33300e-05 0.000000e+00 -1.66243e-09
+176 1.435057e-09 -9.39966e-05 0.000000e+00 -1.66240e-09
+177 1.445057e-09 -9.46633e-05 0.000000e+00 -1.66246e-09
+178 1.455057e-09 -9.53300e-05 0.000000e+00 -1.66237e-09
+179 1.465057e-09 -9.59966e-05 0.000000e+00 -1.66243e-09
+180 1.475057e-09 -9.66633e-05 0.000000e+00 -1.66245e-09
+181 1.485057e-09 -9.73300e-05 0.000000e+00 -1.66238e-09
+182 1.495057e-09 -9.79966e-05 0.000000e+00 -1.66244e-09
+183 1.505057e-09 -9.86633e-05 0.000000e+00 -1.66245e-09
+184 1.515057e-09 -9.93300e-05 0.000000e+00 -1.66237e-09
+185 1.525057e-09 -9.99966e-05 0.000000e+00 -1.66244e-09
+186 1.535057e-09 -1.00663e-04 0.000000e+00 -1.66243e-09
+187 1.545057e-09 -1.01330e-04 0.000000e+00 -1.66245e-09
+188 1.555057e-09 -1.01997e-04 0.000000e+00 -1.66240e-09
+189 1.565057e-09 -1.02663e-04 0.000000e+00 -1.66241e-09
+190 1.575057e-09 -1.03330e-04 0.000000e+00 -1.66245e-09
+191 1.585057e-09 -1.03997e-04 0.000000e+00 -1.66240e-09
+192 1.595057e-09 -1.04663e-04 0.000000e+00 -1.66238e-09
+193 1.605057e-09 -1.05330e-04 0.000000e+00 -1.66248e-09
+194 1.615057e-09 -1.05997e-04 0.000000e+00 -1.66240e-09
+195 1.625057e-09 -1.06663e-04 0.000000e+00 -1.66243e-09
+196 1.635057e-09 -1.07330e-04 0.000000e+00 -1.66243e-09
+197 1.645057e-09 -1.07997e-04 0.000000e+00 -1.66243e-09
+198 1.655057e-09 -1.08663e-04 0.000000e+00 -1.66240e-09
+199 1.665057e-09 -1.09330e-04 0.000000e+00 -1.66241e-09
+200 1.675057e-09 -1.09997e-04 0.000000e+00 -1.66248e-09
+201 1.685057e-09 -1.10663e-04 0.000000e+00 -1.66237e-09
+202 1.695057e-09 -1.11330e-04 0.000000e+00 -1.66241e-09
+203 1.705057e-09 -1.11997e-04 0.000000e+00 -1.66248e-09
+204 1.715057e-09 -1.12663e-04 0.000000e+00 -1.66237e-09
+205 1.725057e-09 -1.13330e-04 0.000000e+00 -1.66246e-09
+206 1.735057e-09 -1.13997e-04 0.000000e+00 -1.66240e-09
+207 1.745057e-09 -1.14663e-04 0.000000e+00 -1.66241e-09
+208 1.755057e-09 -1.15330e-04 0.000000e+00 -1.66245e-09
+209 1.765057e-09 -1.15997e-04 0.000000e+00 -1.66243e-09
+210 1.775057e-09 -1.16663e-04 0.000000e+00 -1.66240e-09
+211 1.785057e-09 -1.17330e-04 0.000000e+00 -1.66238e-09
+212 1.795057e-09 -1.17997e-04 0.000000e+00 -1.66249e-09
+213 1.805057e-09 -1.18663e-04 0.000000e+00 -1.66240e-09
+214 1.815057e-09 -1.19330e-04 0.000000e+00 -1.66241e-09
+215 1.825057e-09 -1.19997e-04 0.000000e+00 -1.66245e-09
+216 1.835057e-09 -1.20663e-04 0.000000e+00 -1.66243e-09
+217 1.845057e-09 -1.21330e-04 0.000000e+00 -1.66240e-09
+218 1.855057e-09 -1.21997e-04 0.000000e+00 -1.66243e-09
+219 1.865057e-09 -1.22663e-04 0.000000e+00 -1.66243e-09
+220 1.875057e-09 -1.23330e-04 0.000000e+00 -1.66243e-09
+221 1.885057e-09 -1.23997e-04 0.000000e+00 -1.66240e-09
+222 1.895057e-09 -1.24663e-04 0.000000e+00 -1.66243e-09
+223 1.905057e-09 -1.25330e-04 0.000000e+00 -1.66238e-09
+224 1.915057e-09 -1.25997e-04 0.000000e+00 -1.66246e-09
+225 1.925057e-09 -1.26663e-04 0.000000e+00 -1.66245e-09
+226 1.935057e-09 -1.27330e-04 0.000000e+00 -1.66243e-09
+227 1.945057e-09 -1.27997e-04 0.000000e+00 -1.66243e-09
+228 1.955057e-09 -1.28663e-04 0.000000e+00 -1.66240e-09
+
+Index time v_v1#branch v_v2#branch v_v3#branch
+--------------------------------------------------------------------------------
+229 1.965057e-09 -1.29330e-04 0.000000e+00 -1.66241e-09
+230 1.975057e-09 -1.29997e-04 0.000000e+00 -1.66246e-09
+231 1.985057e-09 -1.30663e-04 0.000000e+00 -1.66232e-09
+232 1.995057e-09 -1.31330e-04 0.000000e+00 -1.66249e-09
+233 2.005057e-09 -1.31997e-04 0.000000e+00 -1.66240e-09
+234 2.015057e-09 -1.32663e-04 0.000000e+00 -1.66238e-09
+235 2.025057e-09 -1.33330e-04 0.000000e+00 -1.66249e-09
+236 2.035057e-09 -1.33997e-04 0.000000e+00 -1.66240e-09
+237 2.045057e-09 -1.34663e-04 0.000000e+00 -1.66246e-09
+238 2.055057e-09 -1.35330e-04 0.000000e+00 -1.66240e-09
+239 2.065057e-09 -1.35997e-04 0.000000e+00 -1.66243e-09
+240 2.075057e-09 -1.36663e-04 0.000000e+00 -1.66240e-09
+241 2.085057e-09 -1.37330e-04 0.000000e+00 -1.66246e-09
+242 2.095057e-09 -1.37997e-04 0.000000e+00 -1.66245e-09
+243 2.105057e-09 -1.38663e-04 0.000000e+00 -1.66235e-09
+244 2.115057e-09 -1.39330e-04 0.000000e+00 -1.66251e-09
+245 2.125057e-09 -1.39997e-04 0.000000e+00 -1.66234e-09
+246 2.135057e-09 -1.40663e-04 0.000000e+00 -1.66246e-09
+247 2.145057e-09 -1.41330e-04 0.000000e+00 -1.66240e-09
+248 2.155057e-09 -1.41997e-04 0.000000e+00 -1.66241e-09
+249 2.165057e-09 -1.42663e-04 0.000000e+00 -1.66246e-09
+250 2.175057e-09 -1.43330e-04 0.000000e+00 -1.66235e-09
+251 2.185057e-09 -1.43997e-04 0.000000e+00 -1.66256e-09
+252 2.195057e-09 -1.44663e-04 0.000000e+00 -1.66234e-09
+253 2.205057e-09 -1.45330e-04 0.000000e+00 -1.66241e-09
+254 2.215057e-09 -1.45997e-04 0.000000e+00 -1.66240e-09
+255 2.225057e-09 -1.46663e-04 0.000000e+00 -1.66241e-09
+256 2.235057e-09 -1.47330e-04 0.000000e+00 -1.66241e-09
+257 2.245057e-09 -1.47997e-04 0.000000e+00 -1.66246e-09
+258 2.255057e-09 -1.48663e-04 0.000000e+00 -1.66245e-09
+259 2.265057e-09 -1.49330e-04 0.000000e+00 -1.66235e-09
+260 2.275057e-09 -1.49997e-04 0.000000e+00 -1.66246e-09
+261 2.285057e-09 -1.50663e-04 0.000000e+00 -1.66250e-09
+262 2.295057e-09 -1.51330e-04 0.000000e+00 -1.66235e-09
+263 2.305057e-09 -1.51997e-04 0.000000e+00 -1.66246e-09
+264 2.315057e-09 -1.52663e-04 0.000000e+00 -1.66240e-09
+265 2.325057e-09 -1.53330e-04 0.000000e+00 -1.66241e-09
+266 2.335057e-09 -1.53997e-04 0.000000e+00 -1.66246e-09
+267 2.345057e-09 -1.54663e-04 0.000000e+00 -1.66240e-09
+268 2.355057e-09 -1.55330e-04 0.000000e+00 -1.66241e-09
+269 2.365057e-09 -1.55997e-04 0.000000e+00 -1.66246e-09
+270 2.375057e-09 -1.56663e-04 0.000000e+00 -1.66245e-09
+271 2.385057e-09 -1.57330e-04 0.000000e+00 -1.66235e-09
+272 2.395057e-09 -1.57997e-04 0.000000e+00 -1.66241e-09
+273 2.405057e-09 -1.58663e-04 0.000000e+00 -1.66251e-09
+274 2.415057e-09 -1.59330e-04 0.000000e+00 -1.66245e-09
+275 2.425057e-09 -1.59997e-04 0.000000e+00 -1.66240e-09
+276 2.435057e-09 -1.60663e-04 0.000000e+00 -1.66241e-09
+277 2.445057e-09 -1.61330e-04 0.000000e+00 -1.66240e-09
+278 2.455057e-09 -1.61997e-04 0.000000e+00 -1.66241e-09
+279 2.465057e-09 -1.62663e-04 0.000000e+00 -1.66235e-09
+280 2.475057e-09 -1.63330e-04 0.000000e+00 -1.66251e-09
+281 2.485057e-09 -1.63997e-04 0.000000e+00 -1.66239e-09
+282 2.495057e-09 -1.64663e-04 0.000000e+00 -1.66241e-09
+283 2.500000e-09 -1.64993e-04 0.000000e+00 -1.66255e-09
+
+CPU time since last call: 0.028 seconds.
+
+Total CPU time: 0.028 seconds.
+
+Total DRAM available = 7774.257812 MB.
+DRAM currently available = 3526.550781 MB.
+Total ngspice program size = 15.930664 MB.
+Resident set size = 1.977539 MB.
+Shared ngspice pages = 1.665039 MB.
+Text (code) pages = 1.453125 MB.
+Stack = 0 bytes.
+Library pages = 414.000 kB.
+