summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorJames McCoy <jamessan@debian.org>2024-01-01 09:34:14 -0500
committerJames McCoy <jamessan@debian.org>2024-01-01 09:34:14 -0500
commite869e94ade71dbd557e58544d37d9f9c1302697c (patch)
treef1005f35bfc802d48d9c139ad5bf9f07443bad20
parente28577d9b9c9f6268119d04dd86a54903805d780 (diff)
New upstream version 1.14.3
-rw-r--r--CHANGES81
-rw-r--r--INSTALL46
-rw-r--r--Makefile.in25
-rw-r--r--NOTICE2
-rw-r--r--aclocal.m43
-rw-r--r--aclocal.m4.in61
-rwxr-xr-xautogen.sh12
-rw-r--r--build/ac-macros/swig.m4703
-rw-r--r--build/generator/gen_base.py3
-rw-r--r--build/generator/gen_win_dependencies.py16
-rw-r--r--build/get-py-info.py17
-rwxr-xr-xconfigure2564
-rw-r--r--configure.ac137
-rw-r--r--subversion/bindings/javahl/src/org/apache/subversion/javahl/NativeException.java6
-rw-r--r--subversion/bindings/javahl/src/org/tigris/subversion/javahl/NativeException.java6
-rw-r--r--subversion/bindings/javahl/src/org/tigris/subversion/javahl/SVNClient.java6
-rw-r--r--subversion/bindings/javahl/tests/org/apache/subversion/javahl/BasicTests.java12
-rw-r--r--subversion/bindings/javahl/tests/org/tigris/subversion/javahl/BasicTests.java13
-rw-r--r--subversion/bindings/swig/INSTALL45
-rw-r--r--subversion/bindings/swig/include/proxy.swg4
-rw-r--r--subversion/bindings/swig/perl/native/core.c1252
-rw-r--r--subversion/bindings/swig/perl/native/svn_client.c92
-rw-r--r--subversion/bindings/swig/perl/native/svn_delta.c14
-rw-r--r--subversion/bindings/swig/perl/native/svn_fs.c80
-rw-r--r--subversion/bindings/swig/perl/native/svn_ra.c22
-rw-r--r--subversion/bindings/swig/perl/native/svn_repos.c142
-rw-r--r--subversion/bindings/swig/perl/native/svn_wc.c322
-rw-r--r--subversion/bindings/swig/python/core.c14
-rw-r--r--subversion/bindings/swig/python/delta.py47
-rw-r--r--subversion/bindings/swig/python/libsvn_swig_py/swigutil_py.c575
-rw-r--r--subversion/bindings/swig/python/libsvn_swig_py/swigutil_py.h4
-rw-r--r--subversion/bindings/swig/python/repos.py4
-rw-r--r--subversion/bindings/swig/python/svn/delta.py5
-rw-r--r--subversion/bindings/swig/python/svn/fs.py26
-rw-r--r--subversion/bindings/swig/python/svn/repos.py15
-rw-r--r--subversion/bindings/swig/python/svn_delta.c30
-rw-r--r--subversion/bindings/swig/python/svn_repos.c30
-rw-r--r--subversion/bindings/swig/python/tests/delta.py14
-rw-r--r--subversion/bindings/swig/python/tests/fs.py3
-rw-r--r--subversion/bindings/swig/python/tests/repository.py149
-rw-r--r--subversion/bindings/swig/ruby/core.c18
-rw-r--r--subversion/bindings/swig/ruby/svn/util.rb2
-rw-r--r--subversion/bindings/swig/ruby/svn_client.c4
-rw-r--r--subversion/bindings/swig/ruby/svn_delta.c4
-rw-r--r--subversion/bindings/swig/ruby/svn_fs.c4
-rw-r--r--subversion/bindings/swig/ruby/svn_ra.c4
-rw-r--r--subversion/bindings/swig/ruby/svn_repos.c4
-rw-r--r--subversion/bindings/swig/ruby/svn_wc.c4
-rw-r--r--subversion/bindings/swig/ruby/test/test_wc.rb26
-rw-r--r--subversion/bindings/swig/ruby/test/windows_util.rb2
-rw-r--r--subversion/bindings/swig/svn_delta.i45
-rw-r--r--subversion/bindings/swig/svn_repos.i2
-rw-r--r--subversion/include/svn_version.h6
-rw-r--r--subversion/libsvn_client/externals.c2
-rw-r--r--subversion/libsvn_diff/parse-diff.c2
-rw-r--r--subversion/libsvn_subr/config_keys.inc2
-rw-r--r--subversion/libsvn_subr/version.c2
-rw-r--r--subversion/libsvn_wc/deprecated.c1
-rw-r--r--subversion/po/subversion.pot6
-rwxr-xr-xsubversion/tests/cmdline/log_tests.py37
-rw-r--r--subversion/tests/cmdline/svntest/main.py2
61 files changed, 2921 insertions, 3860 deletions
diff --git a/CHANGES b/CHANGES
index f9d324a..b40ada1 100644
--- a/CHANGES
+++ b/CHANGES
@@ -4,20 +4,47 @@
# To view an issue listed as (issue #XXXX), visit:
# https://subversion.apache.org/issue-XXXX
+Version 1.14.3
+(20 Dec 2023, from /branches/1.14.x)
+https://svn.apache.org/repos/asf/subversion/tags/1.14.3
+ User-visible changes:
+ - Client-side bugfixes:
+ * Fix svn:mergeinfo diff parser bug when parsing forward merges (r1906502)
+ * Fix redirected URL handling with file externals (r1908926, issue #4911)
+
+ - Server-side bugfixes:
+ (none)
+
+ Developer-visible changes:
+ * swig-rb: Fix uses of 'File.exist?', deprecated since Ruby 2.1 (r1904472)
+ * Build: Fix uses of deprecated Python APIs (r1900882, issue #4899)
+ * Build: Retain ability to build SWIG Python 2 bindings (r1900890)
+ * Fix reading WC lock status with svn_wc_status2_t (r1904193, issue #4908)
+ * JavaHL: Add @Deprecated to silence compiler warnings (r1904936)
+ * JavaHL: Fix crash in case of null message in getMessage (r1904938)
+ * Fix build breakage of release tarballs by installed swig (r1878379 et al)
+ * Add regression test for issue #4711 "invalid xml file" (r1877310)
+ * swig-py: Fix building with SWIG 4.1.0 (r1904167)
+ * Makefile.in: Fix cleaning of __pycache__ dirs and *.pyc (r1903267)
+ * swig-py: Avoid deprecated options to SWIG >= 4.1.0 (r1904198, r1904287)
+ * swig-py: Use sysconfig to allow building with Python 3.12 (r1910098)
+ * INSTALL: Document not to use SVN with APR 1.7.3 on Windows (r1911278)
+ * Fix test suite broken by syntax error when --enable-sasl (r1907124)
+ * swig-py: Fix issues #4916, #4917, #4918 (r1912500 et al)
+ * swig-py: Improve error when no external diff (r1912724, -743, issue #1778)
+ * autogen.sh: Fix building when Python is not named "python" (r1903245)
+
Version 1.14.2
(12 Apr 2022, from /branches/1.14.x)
User-visible changes:
- Client-side bugfixes:
- * Don't show unreadable copyfrom paths in 'svn log -v' (r1899227)
* Fix -r option documentation for some svnadmin subcommands (r1896877)
* Fix error message encoding when system() call fails (r1887641, r1890013)
* Fix assertion failure in conflict resolver (r1892470, -471, -541)
- User-visible changes:
- - Client-side improvements and bugfixes:
- * Support multiple working copy formats (1.8-onward, 1.15) (issue #????)
- Server-side bugfixes:
- * Fix use-after-free of object-pools when running in httpd (issue #4880)
+ * Fix CVE-2021-28544: authz protected copyfrom paths regression (r1899227)
+ * Fix CVE-2022-24070: use-after-free in mod_dav_svn (issue #4880)
Developer-visible changes:
* Add test coverage for CVE-2020-17525 (r1883838 et al)
@@ -296,11 +323,11 @@ Version 1.10.8
(12 Apr 2022, from /branches/1.10.x)
User-visible changes:
- Client-side bugfixes:
- * Don't show unreadable copyfrom paths in 'svn log -v' (r1899227)
* Fix merge assertion failure in svn_sort__array_insert (issue #4840)
- Server-side bugfixes:
- * Fix use-after-free of object-pools when running in httpd (issue #4880)
+ * Fix CVE-2021-28544: authz protected copyfrom paths regression (r1899227)
+ * Fix CVE-2022-24070: use-after-free in mod_dav_svn (issue #4880)
* Fix authz doesn't combine global and repository rules (issue #4762)
Developer-visible changes:
@@ -2456,7 +2483,7 @@ http://svn.apache.org/repos/asf/subversion/tags/1.8.1
* svnadmin upgrade: fix data loss when cancelling in last stage (r1494298)
* mod_dav_svn: fix incorrect path canonicalization (r1503528)
See CVE-2013-4131, and descriptive advisory at
- http://subversion.apache.org/security/CVE-2013-4131-advisory.txt
+ https://subversion.apache.org/security/CVE-2013-4131-advisory.txt
- Other tool improvements and bugfixes:
* fsfs-stats (tool): resolve segfault when passing invalid path (r1492164)
@@ -3053,12 +3080,12 @@ http://svn.apache.org/repos/asf/subversion/tags/1.7.11
- Server-side bugfixes:
* mod_dav_svn: fix incorrect path canonicalization (r1503528)
See CVE-2013-4131, and descriptive advisory at
- http://subversion.apache.org/security/CVE-2013-4131-advisory.txt
+ https://subversion.apache.org/security/CVE-2013-4131-advisory.txt
- Other tool improvements and bugfixes:
* fix argument processing in contrib hook scripts (r1485350)
See CVE-2013-2088, and descriptive advisory at
- http://subversion.apache.org/security/CVE-2013-2088-advisory.txt
+ https://subversion.apache.org/security/CVE-2013-2088-advisory.txt
Developer-visible changes:
- Bindings:
@@ -3085,10 +3112,10 @@ http://svn.apache.org/repos/asf/subversion/tags/1.7.10
- Server-side bugfixes:
* fix FSFS repository corruption due to newline in filename (issue #4340)
See CVE-2013-1968, and descriptive advisory at
- http://subversion.apache.org/security/CVE-2013-1968-advisory.txt
+ https://subversion.apache.org/security/CVE-2013-1968-advisory.txt
* fix svnserve exiting when a client connection is aborted (r1482759)
See CVE-2013-2112, and descriptive advisory at
- http://subversion.apache.org/security/CVE-2013-2112-advisory.txt
+ https://subversion.apache.org/security/CVE-2013-2112-advisory.txt
* fix svnserve memory use after clear (issue #4365)
* fix repository corruption on power/disk failure on Windows (r1483781)
@@ -3116,7 +3143,7 @@ http://svn.apache.org/repos/asf/subversion/tags/1.7.9
- Server-side bugfixes:
See CVE-2013-1845, CVE-2013-1846, CVE-2013-1847, CVE-2013-1849,
and CVE-2013-1884, and descriptive advisories at
- http://subversion.apache.org/security/
+ https://subversion.apache.org/security/
* svnserve will log the replayed rev not the low-water rev. (r1461278)
* mod_dav_svn will omit some property values for activity urls (r1453780)
* fix an assertion in mod_dav_svn when acting as a proxy on / (issue #4272)
@@ -3456,7 +3483,7 @@ Version 1.7.0
http://svn.apache.org/repos/asf/subversion/tags/1.7.0
See the 1.7 release notes for a more verbose overview of the changes since
-the 1.6 release: http://subversion.apache.org/docs/release-notes/1.7.html
+the 1.6 release: https://subversion.apache.org/docs/release-notes/1.7.html
User-visible changes:
- General:
@@ -3655,7 +3682,7 @@ http://svn.apache.org/repos/asf/subversion/tags/1.6.23
* fix FSFS repository corruption due to newline in filename (issue #4340)
* fix svnserve exiting when a client connection is aborted (r1482759)
See CVE-2013-2112, and descriptive advisory at
- http://subversion.apache.org/security/CVE-2013-2112-advisory.txt
+ https://subversion.apache.org/security/CVE-2013-2112-advisory.txt
- Other tool improvements and bugfixes:
* fix argument processing in contrib hook scripts (r1485350)
@@ -3753,7 +3780,7 @@ http://svn.apache.org/repos/asf/subversion/tags/1.6.17
* fixed: file externals cause mixed-revision working copies (issue #3816)
* fix crash in mod_dav_svn with GETs of baselined resources (r1104126)
See CVE-2011-1752, and descriptive advisory at
- http://subversion.apache.org/security/CVE-2011-1752-advisory.txt
+ https://subversion.apache.org/security/CVE-2011-1752-advisory.txt
* fixed: write-through proxy could directly commit to slave (r917523)
* detect a particular corruption condition in FSFS (r1100213)
* improve error message when clients refer to unknown revisions (r939000)
@@ -3766,10 +3793,10 @@ http://svn.apache.org/repos/asf/subversion/tags/1.6.17
* server-side validation of svn:mergeinfo syntax during commit (issue #3895)
* fix remotely triggerable mod_dav_svn DoS (r1130303)
See CVE-2011-1783, and descriptive advisory at
- http://subversion.apache.org/security/CVE-2011-1783-advisory.txt
+ https://subversion.apache.org/security/CVE-2011-1783-advisory.txt
* fix potential leak of authz-protected file contents (r1130303)
See CVE-2011-1921, and descriptive advisory at
- http://subversion.apache.org/security/CVE-2011-1921-advisory.txt
+ https://subversion.apache.org/security/CVE-2011-1921-advisory.txt
Developer-visible changes:
* fix reporting FS-level post-commit processing errors (r1104098)
@@ -3785,7 +3812,7 @@ http://svn.apache.org/repos/asf/subversion/tags/1.6.16
* more improvement to the 'blame -g' memory leak from 1.6.15 (r1041438)
* avoid a crash in mod_dav_svn when using locks (r1071239, -307)
See CVE-2011-0715, and descriptive advisory at
- http://subversion.apache.org/security/CVE-2011-0715-advisory.txt
+ https://subversion.apache.org/security/CVE-2011-0715-advisory.txt
* avoid unnecessary globbing for performance (r1068988)
* don't add tree conflicts when one already exists (issue #3486)
* fix potential crash when requesting mergeinfo (r902467)
@@ -3860,7 +3887,7 @@ http://svn.apache.org/repos/asf/subversion/tags/1.6.13
* fixed: record-only merges create self-referential mergeinfo (issue #3646)
* fixed: 'SVNPathAuthz short_circuit' unsolicited read access (issue #3695)
See CVE-2010-3315, and descriptive advisory at
- http://subversion.apache.org/security/CVE-2010-3315-advisory.txt
+ https://subversion.apache.org/security/CVE-2010-3315-advisory.txt
* make 'svnmucc propset' handle existing and non-existing URLs (r1000607)
* add new 'propsetf' subcommand to svnmucc (r1000612)
* warn about copied dirs during 'svn ci' with limited depth (r1002094)
@@ -4036,7 +4063,7 @@ http://svn.apache.org/repos/asf/subversion/tags/1.6.4
User-visible changes:
* fixed: heap overflow vulnerability on server and client
See CVE-2009-2411, and descriptive advisory at
- http://subversion.apache.org/security/CVE-2009-2411-advisory.txt
+ https://subversion.apache.org/security/CVE-2009-2411-advisory.txt
Version 1.6.3
@@ -4272,7 +4299,7 @@ http://svn.apache.org/repos/asf/subversion/tags/1.5.9
* improve memory performance in 'svn merge' (issue #3393)
* fixed: 'SVNPathAuthz short_circuit' unsolicited read access (issue #3695)
See CVE-2010-3315, and descriptive advisory at
- http://subversion.apache.org/security/CVE-2010-3315-advisory.txt
+ https://subversion.apache.org/security/CVE-2010-3315-advisory.txt
* prevent crash in mod_dav_svn when using SVNParentPath (r1033166)
* limit memory fragmentation in svnserve (r1022675)
* fix server-side memory leaks triggered by 'blame -g' (r1032808)
@@ -4297,7 +4324,7 @@ http://svn.apache.org/repos/asf/subversion/tags/1.5.7
User-visible changes:
* fixed: heap overflow vulnerability on server and client
See CVE-2009-2411, and descriptive advisory at
- http://subversion.apache.org/security/CVE-2009-2411-advisory.txt
+ https://subversion.apache.org/security/CVE-2009-2411-advisory.txt
Version 1.5.6
@@ -4730,7 +4757,7 @@ http://svn.apache.org/repos/asf/subversion/tags/1.4.5
User-visible changes:
* fixed: file placement vulnerability (Win32 clients only)
See CVE-2007-3846, and descriptive advisory at
- http://subversion.apache.org/security/CVE-2007-3846-advisory.txt
+ https://subversion.apache.org/security/CVE-2007-3846-advisory.txt
Version 1.4.4
@@ -5309,7 +5336,7 @@ Version 1.2.0
http://svn.apache.org/repos/asf/subversion/tags/1.2.0
See the 1.2 release notes for a more verbose overview of the changes since
-the 1.1 release: http://subversion.apache.org/docs/release-notes/1.2.html
+the 1.1 release: https://subversion.apache.org/docs/release-notes/1.2.html
User-visible changes:
- Client:
@@ -5605,7 +5632,7 @@ Version 1.1.0
http://svn.apache.org/repos/asf/subversion/tags/1.1.0
See the 1.1 release notes for a more verbose overview of the changes since
-1.0.x: http://subversion.apache.org/docs/release-notes/1.1.html
+1.0.x: https://subversion.apache.org/docs/release-notes/1.1.html
User-visible changes:
* new non-database repository back-end (libsvn_fs_fs)
@@ -5735,7 +5762,7 @@ http://svn.apache.org/repos/asf/subversion/tags/1.0.8
User-visible changes:
* fixed: mod_authz_svn path and log-message metadata leaks.
See CAN-2004-0749, and descriptive advisory at
- http://subversion.apache.org/security/CAN-2004-0749-advisory.txt
+ https://subversion.apache.org/security/CAN-2004-0749-advisory.txt
Version 1.0.7
diff --git a/INSTALL b/INSTALL
index 40d8ce3..7e83128 100644
--- a/INSTALL
+++ b/INSTALL
@@ -3,7 +3,7 @@
A Quick Guide
======================================
-$LastChangedDate: 2022-03-31 13:42:40 +0000 (Thu, 31 Mar 2022) $
+$LastChangedDate: 2023-07-27 04:00:03 +0000 (Thu, 27 Jul 2023) $
Contents:
@@ -242,22 +242,42 @@ I. INTRODUCTION
$ ./configure --with-apr=/usr/local/apache2 \
--with-apr-util=/usr/local/apache2 ...
- Be sure to use a native Windows SVN client (as opposed to
- Cygwin's version) so that the .dsp files get carriage-returns at
- the ends of their lines. Otherwise Visual Studio will complain
- that it doesn't recognize the .dsp files.
+ Notes on Windows platforms:
- If you use APR libraries checked out from svn in an Unix
- environment, you need to run the 'buildconf' script in each
- library's directory, to regenerate the configure scripts and
- other files required for compiling the libraries:
+ * Do not use APR version 1.7.3 as that release contains a bug that
+ makes it impossible for Subversion to use it properly. This issue
+ only affects APR builds on Windows. This issue was fixed in APR
+ version 1.7.4. See:
+ https://lists.apache.org/thread/xd5t922jvb9423ph4j84rsp5fxks1k0z
- $ cd apr; ./buildconf; ./configure ...; make; make install; cd ..
+ * If you check out APR and APR-util sources from their Subversion
+ repository, be sure to use a native Windows SVN client (as opposed
+ to Cygwin's version) so that the .dsp files get carriage-returns at
+ the ends of their lines. Otherwise Visual Studio will complain that
+ it doesn't recognize the .dsp files.
- $ cd apr-util; ./buildconf; ./configure ...; make; make install; cd ..
+ Notes on Unix platforms:
- Configure build and install both libraries before running Subversion's
- configure script.
+ * If you check out APR and APR-util sources from their Subversion
+ repository, you need to run the 'buildconf' script in each library's
+ directory to regenerate the configure scripts and other files
+ required for compiling the libraries. Afterwards, configure, build,
+ and install both libraries before running Subversion's configure
+ script. For example:
+
+ $ cd apr
+ $ ./buildconf
+ $ ./configure <options...>
+ $ make
+ $ make install
+ $ cd ..
+
+ $ cd apr-util
+ $ ./buildconf
+ $ ./configure <options...>
+ $ make
+ $ make install
+ $ cd ..
2. SQLite (REQUIRED)
diff --git a/Makefile.in b/Makefile.in
index 2d158dc..7f0a37d 100644
--- a/Makefile.in
+++ b/Makefile.in
@@ -356,7 +356,7 @@ INSTALL_EXTRA_SWIG_PY=\
$(INSTALL_DATA) "$$i" $(DESTDIR)$(swig_pydir); \
done; \
fi; \
- $(PYTHON) -c 'import compileall; \
+ $(SWIG_PY_PYTHON) -c 'import compileall; \
compileall.compile_dir("$(DESTDIR)$(swig_pydir)", 1, "$(swig_pydir)"); \
compileall.compile_dir("$(DESTDIR)$(swig_pydir_extra)", 1, \
"$(swig_pydir_extra)");'
@@ -396,7 +396,8 @@ HTTPD_VERSION = @HTTPD_VERSION@
HTTPD_WHITELIST = @HTTPD_WHITELIST@
PYTHON = @PYTHON@
-PERL = @PERL@
+SWIG_PY_PYTHON = @SWIG_PY_PYTHON@
+SWIG_PL_PERL = @SWIG_PL_PERL@
JDK = @JDK@
JAVA = @JAVA@
@@ -412,7 +413,7 @@ javahl_compat_CLASSPATH=$(JAVA_CLASSPATH)
javahl_tests_CLASSPATH=$(JAVA_CLASSPATH)
javahl_compat_tests_CLASSPATH=$(JAVA_CLASSPATH)
-RUBY = @RUBY@
+SWIG_RB_RUBY = @SWIG_RB_RUBY@
RUBY_MAJOR = @RUBY_MAJOR@
RUBY_MINOR = @RUBY_MINOR@
RDOC = @RDOC@
@@ -456,8 +457,9 @@ fast-clean: doc-clean
done
echo $(CLEAN_FILES) | xargs rm -f --
find $(CTYPES_PYTHON_SRC_DIR) $(SWIG_PY_SRC_DIR) $(SWIG_PY_DIR) \
- $(abs_srcdir)/build $(top_srcdir)/subversion/tests/cmdline/svntest \
- -name "*.pyc" -exec rm {} ';'
+ $(abs_srcdir)/build $(top_srcdir)/subversion/tests/cmdline \
+ '(' -name "__pycache__" -prune -o -name "*.pyc" ')' \
+ -exec rm -rf {} ';'; \
# clean everything, returning to before './configure' was run.
SVN_CONFIG_SCRIPT_FILES = @SVN_CONFIG_SCRIPT_FILES@
@@ -474,6 +476,7 @@ local-distclean: local-clean
local-extraclean: extraclean-bindings local-distclean
rm -f $(top_srcdir)/build-outputs.mk \
$(top_srcdir)/subversion/svn_private_config.h.in \
+ $(top_srcdir)/aclocal.m4 \
$(top_srcdir)/configure \
$(top_srcdir)/gen-make.opts \
$(top_srcdir)/build/config.guess \
@@ -881,13 +884,13 @@ $(SWIG_PL_DIR)/native/Makefile.PL: $(SWIG_SRC_DIR)/perl/native/Makefile.PL.in
./config.status subversion/bindings/swig/perl/native/Makefile.PL
$(SWIG_PL_DIR)/native/Makefile: libsvn_swig_perl $(SWIG_PL_DIR)/native/Makefile.PL
- cd $(SWIG_PL_DIR)/native; $(PERL) Makefile.PL PREFIX=$(prefix)
+ cd $(SWIG_PL_DIR)/native; $(SWIG_PL_PERL) Makefile.PL PREFIX=$(prefix)
# There is a "readlink -f" command on some systems for the same purpose,
# but it's not as portable (e.g. Mac OS X doesn't have it). These should
# only be used where Python/Perl are known to be available.
READLINK_PY=$(PYTHON) -c 'import sys,os; print(os.path.realpath(sys.argv[1]))'
-READLINK_PL=$(PERL) -e 'use Cwd; print Cwd::realpath(shift)'
+READLINK_PL=$(SWIG_PL_PERL) -e 'use Cwd; print Cwd::realpath(shift)'
swig-pl: autogen-swig-pl $(SWIG_PL_DIR)/native/Makefile
if test "`$(READLINK_PL) $(SWIG_PL_DIR)`" != "`$(READLINK_PL) $(SWIG_PL_SRC_DIR)`"; then \
@@ -943,7 +946,7 @@ copy-swig-py: autogen-swig-py swig-py $(SWIG_PY_DIR)/libsvn
check-swig-py: swig-py copy-swig-py
$(TEST_SHLIB_VAR_SWIG_PY) \
cd $(SWIG_PY_DIR); \
- $(PYTHON) $(SWIG_PY_SRC_DIR)/tests/run_all.py
+ $(SWIG_PY_PYTHON) $(SWIG_PY_SRC_DIR)/tests/run_all.py
EXTRACLEAN_SWIG_PY=rm -rf $(SWIG_PY_DIR)/svn_*.c $(SWIG_PY_DIR)/core.c \
$(SWIG_PY_DIR)/[a-z]*.py
@@ -957,7 +960,9 @@ clean-swig-py:
do \
cd $$d && rm -rf *.lo *.la *.o *.pyc .libs; \
done
- find $(SWIG_PY_SRC_DIR) $(SWIG_PY_DIR) -name "*.pyc" -exec rm {} ';'
+ find $(SWIG_PY_SRC_DIR) $(SWIG_PY_DIR) \
+ '(' -name "__pycache__" -prune -o -name "*.pyc" ')' \
+ -exec rm -rf {} ';'
extraclean-swig-py: clean-swig-py
$(EXTRACLEAN_SWIG_PY)
@@ -968,7 +973,7 @@ check-swig-rb: swig-rb svnserve
$(TEST_SHLIB_VAR_SWIG_RB) \
cd $(SWIG_RB_DIR); \
check_rb() { \
- $(RUBY) -I $(SWIG_RB_SRC_DIR) $(SWIG_RB_SRC_DIR)/test/run-test.rb "$$@"; \
+ $(SWIG_RB_RUBY) -I $(SWIG_RB_SRC_DIR) $(SWIG_RB_SRC_DIR)/test/run-test.rb "$$@"; \
}; \
if check_rb --help 2>&1 | grep -q -- --collector; then \
check_rb --collector=dir --verbose=$(SWIG_RB_TEST_VERBOSE); \
diff --git a/NOTICE b/NOTICE
index 8210968..4b59588 100644
--- a/NOTICE
+++ b/NOTICE
@@ -1,5 +1,5 @@
Apache Subversion
-Copyright 2022 The Apache Software Foundation
+Copyright 2023 The Apache Software Foundation
This product includes software developed by many people, and distributed
under Contributor License Agreements to The Apache Software Foundation
diff --git a/aclocal.m4 b/aclocal.m4
index e198ee6..9efadf7 100644
--- a/aclocal.m4
+++ b/aclocal.m4
@@ -59,3 +59,6 @@ sinclude(build/ltoptions.m4)
sinclude(build/ltsugar.m4)
sinclude(build/ltversion.m4)
sinclude(build/lt~obsolete.m4)
+
+# Generated by 'autogen.sh --release'
+AC_DEFUN([SVN_RELEASE_MODE],[1])
diff --git a/aclocal.m4.in b/aclocal.m4.in
new file mode 100644
index 0000000..e198ee6
--- /dev/null
+++ b/aclocal.m4.in
@@ -0,0 +1,61 @@
+#
+#
+# Licensed to the Apache Software Foundation (ASF) under one
+# or more contributor license agreements. See the NOTICE file
+# distributed with this work for additional information
+# regarding copyright ownership. The ASF licenses this file
+# to you under the Apache License, Version 2.0 (the
+# "License"); you may not use this file except in compliance
+# with the License. You may obtain a copy of the License at
+#
+# http://www.apache.org/licenses/LICENSE-2.0
+#
+# Unless required by applicable law or agreed to in writing,
+# software distributed under the License is distributed on an
+# "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY
+# KIND, either express or implied. See the License for the
+# specific language governing permissions and limitations
+# under the License.
+#
+#
+# aclocal.m4: Supplementary macros used by Subversion's configure.ac
+#
+# These are here rather than directly in configure.ac, since this prevents
+# comments in the macro files being copied into configure.ac, producing
+# useless bloat. (This is significant - a 12kB reduction in size!)
+
+# Include macros distributed by the APR project
+sinclude(build/ac-macros/find_apr.m4)
+sinclude(build/ac-macros/find_apu.m4)
+
+# Include Subversion's own custom macros
+sinclude(build/ac-macros/svn-macros.m4)
+
+sinclude(build/ac-macros/apache.m4)
+sinclude(build/ac-macros/apr.m4)
+sinclude(build/ac-macros/aprutil.m4)
+sinclude(build/ac-macros/apr_memcache.m4)
+sinclude(build/ac-macros/ax_boost_base.m4)
+sinclude(build/ac-macros/ax_boost_unit_test_framework.m4)
+sinclude(build/ac-macros/berkeley-db.m4)
+sinclude(build/ac-macros/compiler.m4)
+sinclude(build/ac-macros/ctypesgen.m4)
+sinclude(build/ac-macros/java.m4)
+sinclude(build/ac-macros/sasl.m4)
+sinclude(build/ac-macros/serf.m4)
+sinclude(build/ac-macros/sqlite.m4)
+sinclude(build/ac-macros/py3c.m4)
+sinclude(build/ac-macros/swig.m4)
+sinclude(build/ac-macros/zlib.m4)
+sinclude(build/ac-macros/lz4.m4)
+sinclude(build/ac-macros/kwallet.m4)
+sinclude(build/ac-macros/libsecret.m4)
+sinclude(build/ac-macros/utf8proc.m4)
+sinclude(build/ac-macros/macosx.m4)
+
+# Include the libtool macros
+sinclude(build/libtool.m4)
+sinclude(build/ltoptions.m4)
+sinclude(build/ltsugar.m4)
+sinclude(build/ltversion.m4)
+sinclude(build/lt~obsolete.m4)
diff --git a/autogen.sh b/autogen.sh
index 6579daa..8bc5cff 100755
--- a/autogen.sh
+++ b/autogen.sh
@@ -51,6 +51,17 @@ while test $# != 0; do
;;
esac
done
+
+# Generate aclocal.m4
+cp -f aclocal.m4.in aclocal.m4
+if test -n "$RELEASE_MODE"; then
+ cat <<EOF >>aclocal.m4
+
+# Generated by 'autogen.sh --release'
+AC_DEFUN([SVN_RELEASE_MODE],[1])
+EOF
+fi
+
# ### The order of parameters is important; buildcheck.sh depends on it and
# ### we don't want to copy the fancy option parsing loop there. For the
# ### same reason, all parameters should be quoted, so that buildcheck.sh
@@ -167,6 +178,7 @@ if test -z "$PYTHON"; then
echo "to the Python executable, and re-run autogen.sh"
exit 1
fi
+export PYTHON
# Compile SWIG headers into standalone C files if we are in release mode
if test -n "$RELEASE_MODE"; then
diff --git a/build/ac-macros/swig.m4 b/build/ac-macros/swig.m4
index 91c6821..167007d 100644
--- a/build/ac-macros/swig.m4
+++ b/build/ac-macros/swig.m4
@@ -21,33 +21,40 @@ dnl check to see if SWIG is current enough.
dnl
dnl if it is, then check to see if we have the correct version of python.
dnl
-dnl if we do, then set up the appropriate SWIG_ variables to build the
-dnl python bindings.
+dnl if we do, then set up the appropriate SWIG_ variables to build the
+dnl Python, Perl, and Ruby bindings.
AC_DEFUN(SVN_CHECK_SWIG,
[
- AC_ARG_WITH(swig,
- AS_HELP_STRING([--with-swig=PATH],
- [Try to use 'PATH/bin/swig' to build the
- swig bindings. If PATH is not specified,
- look for a 'swig' binary in your PATH.]),
+ m4_ifndef([SVN_RELEASE_MODE],
[
- case "$withval" in
- "no")
- SWIG_SUITABLE=no
- SVN_FIND_SWIG(no)
- ;;
- "yes")
- SVN_FIND_SWIG(required)
+ AC_ARG_WITH(swig,
+ AS_HELP_STRING([--with-swig=PATH],
+ [Try to use 'PATH/bin/swig' to build the
+ swig bindings. If PATH is not specified,
+ look for a 'swig' binary in your PATH.]),
+ [
+ case "$withval" in
+ yes)
+ svn_find_swig_arg=required
;;
*)
- SVN_FIND_SWIG($withval)
+ svn_find_swig_arg=$withval
;;
- esac
- ],
- [
- SVN_FIND_SWIG(check)
+ esac
+ ],
+ [
+ if test "$SWIG_PY_PYTHON" != "none" \
+ || test "$SWIG_PL_PERL" != "none" \
+ || test "$SWIG_RB_RUBY" != "none" ; then
+ svn_find_swig_arg=check
+ else
+ svn_find_swig_arg=no
+ fi
+ ])
+ SVN_FIND_SWIG($svn_find_swig_arg)
])
+ SVN_DETERMINE_SWIG_OPTS
])
AC_DEFUN(SVN_FIND_SWIG,
@@ -69,7 +76,7 @@ AC_DEFUN(SVN_FIND_SWIG,
fi
if test ! -f "$SWIG" || test ! -x "$SWIG"; then
AC_MSG_ERROR([Could not find swig binary at $SWIG])
- fi
+ fi
fi
if test "$SWIG" != "none"; then
@@ -78,7 +85,7 @@ AC_DEFUN(SVN_FIND_SWIG,
$SED -ne 's/^.*Version \(.*\)$/\1/p'`"
# We want the version as an integer so we can test against
# which version we're using. SWIG doesn't provide this
- # to us so we have to come up with it on our own.
+ # to us so we have to come up with it on our own.
# The major is passed straight through,
# the minor is zero padded to two places,
# and the patch level is zero padded to three places.
@@ -91,100 +98,116 @@ AC_DEFUN(SVN_FIND_SWIG,
AC_MSG_RESULT([$SWIG_VERSION_RAW])
# If you change the required swig version number, don't forget to update:
# subversion/bindings/swig/INSTALL
- if test -n "$SWIG_VERSION" && test "$SWIG_VERSION" -ge "103024"; then
- SWIG_SUITABLE=yes
- else
- SWIG_SUITABLE=no
+ if test ! -n "$SWIG_VERSION" || test "$SWIG_VERSION" -lt "103024"; then
AC_MSG_WARN([Detected SWIG version $SWIG_VERSION_RAW])
AC_MSG_WARN([Subversion requires SWIG >= 1.3.24])
fi
fi
-
- SWIG_PY_COMPILE="none"
- SWIG_PY_LINK="none"
- SWIG_PY_OPTS="none"
- SWIG_PY_ERRMSG="check config.log for details"
- if test "$PYTHON" != "none"; then
- AC_MSG_NOTICE([Configuring python swig binding])
-
- AC_CACHE_CHECK([for Python includes], [ac_cv_python_includes],[
- ac_cv_python_includes="`$PYTHON ${abs_srcdir}/build/get-py-info.py --includes`"
- ])
- SWIG_PY_INCLUDES="\$(SWIG_INCLUDES) $ac_cv_python_includes"
+])
- if test "$ac_cv_python_includes" = "none"; then
- SWIG_PY_ERRMSG="no distutils found"
- AC_MSG_WARN([python bindings cannot be built without distutils module])
+
+AC_DEFUN(SVN_DETERMINE_SWIG_OPTS,
+[
+ m4_ifndef([SVN_RELEASE_MODE],
+ [
+ # not in release mode
+ SWIG_PY_COMPILE="none"
+ SWIG_PY_LINK="none"
+ SWIG_PY_OPTS="none"
+ SWIG_PY_ERRMSG="check config.log for details"
+ if test "$SWIG_PY_PYTHON" = "none"; then
+ SWIG_PY_ERRMSG="You specfied not to build Python bindings or \
+suitable Python interpreter is not found."
else
+ if test "$SWIG" = "none"; then
+ AC_MSG_WARN([You specified to build SWIG Python bindings, but SWIG is not found.])
+ SWIG_PY_ERRMSG="SWIG is need to build SWIG Python bindings, but it is not found."
+ else
+ AC_MSG_NOTICE([Configuring python swig binding])
- python_header_found="no"
+ AC_CACHE_CHECK([for Python includes], [ac_cv_python_includes],[
+ ac_cv_python_includes="`$SWIG_PY_PYTHON ${abs_srcdir}/build/get-py-info.py --includes`"
+ ])
+ SWIG_PY_INCLUDES="\$(SWIG_INCLUDES) $ac_cv_python_includes"
- save_cppflags="$CPPFLAGS"
- CPPFLAGS="$CPPFLAGS $ac_cv_python_includes"
- AC_CHECK_HEADER(Python.h, [
- python_header_found="yes"
- ])
- CPPFLAGS="$save_cppflags"
+ if test "$ac_cv_python_includes" = "none"; then
+ SWIG_PY_ERRMSG="no distutils found"
+ AC_MSG_WARN([python bindings cannot be built without distutils module])
+ else
- if test "$python_header_found" = "no"; then
- SWIG_PY_ERRMSG="no Python.h found"
- AC_MSG_WARN([Python.h not found; disabling python swig bindings])
- else
- SVN_PY3C()
+ python_header_found="no"
- if test "$py3c_found" = "no"; then
- SWIG_PY_ERRMSG="py3c library not found"
- AC_MSG_WARN([py3c library not found; disabling python swig bindings])
- else
- AC_CACHE_CHECK([for compiling Python extensions], [ac_cv_python_compile],[
- ac_cv_python_compile="`$PYTHON ${abs_srcdir}/build/get-py-info.py --compile`"
- ])
- SWIG_PY_COMPILE="$ac_cv_python_compile $CFLAGS"
-
- AC_CACHE_CHECK([for linking Python extensions], [ac_cv_python_link],[
- ac_cv_python_link="`$PYTHON ${abs_srcdir}/build/get-py-info.py --link`"
- ])
- SWIG_PY_LINK="$ac_cv_python_link"
-
- AC_CACHE_CHECK([for linking Python libraries], [ac_cv_python_libs],[
- ac_cv_python_libs="`$PYTHON ${abs_srcdir}/build/get-py-info.py --libs`"
+ save_cppflags="$CPPFLAGS"
+ CPPFLAGS="$CPPFLAGS $ac_cv_python_includes"
+ AC_CHECK_HEADER(Python.h, [
+ python_header_found="yes"
])
- SWIG_PY_LIBS="`SVN_REMOVE_STANDARD_LIB_DIRS($ac_cv_python_libs)`"
+ CPPFLAGS="$save_cppflags"
- if test "$SWIG" = "none"; then
- SWIG_PY_ERRMSG=""
+ if test "$python_header_found" = "no"; then
+ SWIG_PY_ERRMSG="no Python.h found"
+ AC_MSG_WARN([Python.h not found; disabling python swig bindings])
else
- # Look more closely at the SWIG and Python versions to
- # determine SWIG_PY_OPTS. We can skip this if we already
- # have the SWIG-generated files.
- AC_CACHE_CHECK([for Python >= 3], [ac_cv_python_is_py3],[
- ac_cv_python_is_py3="no"
- $PYTHON -c 'import sys; sys.exit(0x3000000 > sys.hexversion)' && \
- ac_cv_python_is_py3="yes"
- ])
-
- if test "$ac_cv_python_is_py3" = "yes"; then
- if test "$SWIG_VERSION" -ge "300010"; then
- dnl SWIG Python bindings successfully configured, clear the error message dnl
- SWIG_PY_ERRMSG=""
- else
- SWIG_PY_ERRMSG="SWIG version is not suitable"
- AC_MSG_WARN([Subversion Python bindings for Python 3 require SWIG 3.0.10 or newer])
- fi
- if test "$SWIG_VERSION" -lt "400000"; then
- SWIG_PY_OPTS="-python -py3 -nofastunpack -modern"
- else
- SWIG_PY_OPTS="-python -py3 -nofastunpack"
- fi
+ SVN_PY3C()
+
+ if test "$py3c_found" = "no"; then
+ SWIG_PY_ERRMSG="py3c library not found"
+ AC_MSG_WARN([py3c library not found; disabling python swig bindings])
else
- if test "$SWIG_VERSION" -lt "400000"; then
- SWIG_PY_OPTS="-python -classic"
- dnl SWIG Python bindings successfully configured, clear the error message dnl
- SWIG_PY_ERRMSG=""
+ AC_CACHE_CHECK([for compiling Python extensions], [ac_cv_python_compile],[
+ ac_cv_python_compile="`$SWIG_PY_PYTHON ${abs_srcdir}/build/get-py-info.py --compile`"
+ ])
+ SWIG_PY_COMPILE="$ac_cv_python_compile $CFLAGS"
+
+ AC_CACHE_CHECK([for linking Python extensions], [ac_cv_python_link],[
+ ac_cv_python_link="`$SWIG_PY_PYTHON ${abs_srcdir}/build/get-py-info.py --link`"
+ ])
+ SWIG_PY_LINK="$ac_cv_python_link"
+
+ AC_CACHE_CHECK([for linking Python libraries], [ac_cv_python_libs],[
+ ac_cv_python_libs="`$SWIG_PY_PYTHON ${abs_srcdir}/build/get-py-info.py --libs`"
+ ])
+ SWIG_PY_LIBS="`SVN_REMOVE_STANDARD_LIB_DIRS($ac_cv_python_libs)`"
+
+ # Look more closely at the SWIG and Python versions to
+ # determine SWIG_PY_OPTS. We can skip this if we already
+ # have the SWIG-generated files.
+ AC_CACHE_CHECK([for Python >= 3], [ac_cv_python_is_py3],[
+ ac_cv_python_is_py3="no"
+ $SWIG_PY_PYTHON -c 'import sys; sys.exit(0x3000000 > sys.hexversion)' && \
+ ac_cv_python_is_py3="yes"
+ ])
+
+ if test "$ac_cv_python_is_py3" = "yes"; then
+ if test "$SWIG_VERSION" -ge "300010"; then
+ dnl SWIG Python bindings successfully configured, clear the error message dnl
+ SWIG_PY_ERRMSG=""
+ if test "$SWIG_VERSION" -lt "400000"; then
+ SWIG_PY_OPTS="-python -py3 -nofastunpack -modern"
+ elif test "$SWIG_VERSION" -lt "401000"; then
+ SWIG_PY_OPTS="-python -py3 -nofastunpack"
+ else
+ SWIG_PY_OPTS="-python -nofastunpack"
+ fi
+ if test "$SWIG_VERSION" -gt "400002"; then
+ AC_MSG_WARN([Subversion Python bindings may work,])
+ AC_MSG_WARN([but we didn't check with this SWIG version.])
+ fi
+ else
+ SWIG_PY_OPTS="-no-such-option" # fool proof
+ SWIG_PY_ERRMSG="SWIG version is not suitable"
+ AC_MSG_WARN([Subversion Python bindings for Python 3 require SWIG 3.0.10 or newer])
+ fi
else
- SWIG_PY_OPTS="-python -nofastunpack"
- SWIG_PY_ERRMSG="SWIG version is not suitable"
- AC_MSG_WARN([Subversion Python bindings for Python 2 require 1.3.24 <= SWIG < 4.0.0])
+ if test "$SWIG_VERSION" -lt "400000"; then
+ SWIG_PY_OPTS="-python -classic"
+ dnl SWIG Python bindings successfully configured, clear the error message dnl
+ SWIG_PY_ERRMSG=""
+ else
+ SWIG_PY_OPTS="-no-such-option" # fool proof
+ SWIG_PY_ERRMSG="SWIG version is not suitable"
+ AC_MSG_WARN([Subversion Python bindings for Python 2 require 1.3.24 <= SWIG < 4.0.0])
+ fi
fi
fi
fi
@@ -192,151 +215,373 @@ AC_DEFUN(SVN_FIND_SWIG,
fi
fi
- fi
-
- SWIG_PL_ERRMSG="check config.log for details"
- if test "$PERL" != "none"; then
- AC_MSG_CHECKING([perl version])
- dnl Note that the q() bit is there to avoid unbalanced brackets
- dnl which m4 really doesn't like.
- PERL_VERSION="`$PERL -e 'q([[); print $]] * 1000000,$/;'`"
- AC_MSG_RESULT([$PERL_VERSION])
- if test "$PERL_VERSION" -ge "5008000"; then
- SWIG_PL_INCLUDES="\$(SWIG_INCLUDES) `$PERL -MExtUtils::Embed -e ccopts`"
- SWIG_PL_LINK="`$PERL -MExtUtils::Embed -e ldopts`"
- SWIG_PL_LINK="`SVN_REMOVE_STANDARD_LIB_DIRS($SWIG_PL_LINK)`"
-
- dnl SWIG Perl bindings successfully configured, clear the error message
- SWIG_PL_ERRMSG=""
+ SWIG_PL_ERRMSG="check config.log for details"
+ if test "$SWIG_PL_PERL" = "none"; then
+ SWIG_PL_ERRMSG="You specfied not to build Perl bindings or \
+suitable Perl interpreter is not found."
else
- AC_MSG_WARN([perl bindings require perl 5.8.0 or newer.])
+ if test "$SWIG" = "none"; then
+ AC_MSG_WARN([You specified to build SWIG Perl bindings, but SWIG is not found.])
+ SWIG_PL_ERRMSG="SWIG is need to build SWIG Perl bindings, but it is not found."
+ else
+ AC_MSG_CHECKING([perl version])
+ dnl Note that the q() bit is there to avoid unbalanced brackets
+ dnl which m4 really doesn't like.
+ PERL_VERSION="`$SWIG_PL_PERL -e 'q([[); print $]] * 1000000,$/;'`"
+ AC_MSG_RESULT([$PERL_VERSION])
+ if test "$PERL_VERSION" -ge "5008000"; then
+ SWIG_PL_INCLUDES="\$(SWIG_INCLUDES) `$SWIG_PL_PERL -MExtUtils::Embed -e ccopts`"
+ SWIG_PL_LINK="`$SWIG_PL_PERL -MExtUtils::Embed -e ldopts`"
+ SWIG_PL_LINK="`SVN_REMOVE_STANDARD_LIB_DIRS($SWIG_PL_LINK)`"
+
+ dnl SWIG Perl bindings successfully configured, clear the error message
+ SWIG_PL_ERRMSG=""
+ else
+ AC_MSG_WARN([perl bindings require perl 5.8.0 or newer.])
+ fi
+ fi
fi
- fi
- SWIG_RB_COMPILE="none"
- SWIG_RB_LINK="none"
- SWIG_RB_ERRMSG="check config.log for details"
- if test "$RUBY" != "none"; then
- if test x"$SWIG_VERSION" = x"3""00""008"; then
- # Use a local variable to escape the '#' sign.
- ruby_swig_issue_602='https://subversion.apache.org/docs/release-notes/1.11#ruby-swig-issue-602'
- AC_MSG_WARN([Ruby bindings are known not to support swig 3.0.8; see $ruby_swig_issue_602])
+ SWIG_RB_COMPILE="none"
+ SWIG_RB_LINK="none"
+ SWIG_RB_ERRMSG="check config.log for details"
+ if test "$SWIG_RB_RUBY" = "none"; then
+ SWIG_RB_ERRMSG="You specfied not to build Ruby bindings or \
+suitable Ruby interpreter is not found."
+ else
+ if test "$SWIG" = "none"; then
+ AC_MSG_WARN([You specified to build SWIG Ruby bindings, but SWIG is not found.])
+ SWIG_RB_ERRMSG="SWIG is need to build SWIG Ruby bindings, but it is not found."
+ else
+ if test x"$SWIG_VERSION" = x"3""00""008"; then
+ # Use a local variable to escape the '#' sign.
+ ruby_swig_issue_602='https://subversion.apache.org/docs/release-notes/1.11#ruby-swig-issue-602'
+ AC_MSG_WARN([Ruby bindings are known not to support swig 3.0.8; see $ruby_swig_issue_602])
+ fi
+ rbconfig="$SWIG_RB_RUBY -rrbconfig -e "
+
+ for var_name in arch archdir CC LDSHARED DLEXT LIBS LIBRUBYARG \
+ rubyhdrdir rubyarchhdrdir sitedir sitelibdir sitearchdir libdir
+ do
+ rbconfig_tmp=`$rbconfig "print RbConfig::CONFIG@<:@'$var_name'@:>@"`
+ eval "rbconfig_$var_name=\"$rbconfig_tmp\""
+ done
+
+ AC_MSG_NOTICE([Configuring Ruby SWIG binding])
+
+ AC_CACHE_CHECK([for Ruby include path], [svn_cv_ruby_includes],[
+ if test -d "$rbconfig_rubyhdrdir"; then
+ dnl Ruby >=1.9
+ svn_cv_ruby_includes="-I. -I$rbconfig_rubyhdrdir"
+ if test -d "$rbconfig_rubyarchhdrdir"; then
+ dnl Ruby >=2.0
+ svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyarchhdrdir"
+ else
+ svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyhdrdir/$rbconfig_arch"
+ fi
+ else
+ dnl Ruby 1.8
+ svn_cv_ruby_includes="-I. -I$rbconfig_archdir"
+ fi
+ ])
+ SWIG_RB_INCLUDES="\$(SWIG_INCLUDES) $svn_cv_ruby_includes"
+
+ AC_CACHE_CHECK([how to compile Ruby extensions], [svn_cv_ruby_compile],[
+ svn_cv_ruby_compile="$rbconfig_CC $CFLAGS"
+ ])
+ SWIG_RB_COMPILE="$svn_cv_ruby_compile"
+ SVN_STRIP_FLAG([SWIG_RB_COMPILE], [-ansi])
+ SVN_STRIP_FLAG([SWIG_RB_COMPILE], [-std=c89])
+ SVN_STRIP_FLAG([SWIG_RB_COMPILE], [-std=c90])
+ dnl FIXME: Check that the compiler for Ruby actually supports this flag
+ SWIG_RB_COMPILE="$SWIG_RB_COMPILE -Wno-int-to-pointer-cast"
+
+ AC_CACHE_CHECK([how to link Ruby extensions], [svn_cv_ruby_link],[
+ svn_cv_ruby_link="`$SWIG_RB_RUBY -e 'ARGV.shift; print ARGV.join(%q( ))' \
+ $rbconfig_LDSHARED`"
+ svn_cv_ruby_link="$rbconfig_CC $svn_cv_ruby_link"
+ svn_cv_ruby_link="$svn_cv_ruby_link -shrext .$rbconfig_DLEXT"
+ ])
+ SWIG_RB_LINK="$svn_cv_ruby_link"
+
+ AC_CACHE_CHECK([how to link Ruby libraries], [ac_cv_ruby_libs], [
+ ac_cv_ruby_libs="$rbconfig_LIBRUBYARG $rbconfig_LIBS"
+ ])
+ SWIG_RB_LIBS="`SVN_REMOVE_STANDARD_LIB_DIRS($ac_cv_ruby_libs)`"
+
+ AC_MSG_CHECKING([for rb_errinfo])
+ old_CFLAGS="$CFLAGS"
+ old_LIBS="$LIBS"
+ CFLAGS="$CFLAGS $svn_cv_ruby_includes"
+ SVN_STRIP_FLAG([CFLAGS], [-ansi])
+ SVN_STRIP_FLAG([CFLAGS], [-std=c89])
+ SVN_STRIP_FLAG([CFLAGS], [-std=c90])
+ LIBS="$SWIG_RB_LIBS"
+ AC_LINK_IFELSE([AC_LANG_SOURCE([[
+#include <ruby.h>
+int main()
+{rb_errinfo();}]])], have_rb_errinfo="yes", have_rb_errinfo="no")
+ if test "$have_rb_errinfo" = "yes"; then
+ AC_MSG_RESULT([yes])
+ AC_DEFINE([HAVE_RB_ERRINFO], [1],
+ [Define to 1 if you have the `rb_errinfo' function.])
+ else
+ AC_MSG_RESULT([no])
+ fi
+ CFLAGS="$old_CFLAGS"
+ LIBS="$old_LIBS"
+
+ AC_CACHE_VAL([svn_cv_ruby_sitedir],[
+ svn_cv_ruby_sitedir="$rbconfig_sitedir"
+ ])
+ AC_ARG_WITH([ruby-sitedir],
+ AS_HELP_STRING([--with-ruby-sitedir=SITEDIR],
+ [install Ruby bindings in SITEDIR
+ (default is same as ruby's one)]),
+ [svn_ruby_installdir="$withval"],
+ [svn_ruby_installdir="$svn_cv_ruby_sitedir"])
+
+ AC_MSG_CHECKING([where to install Ruby scripts])
+ AC_CACHE_VAL([svn_cv_ruby_sitedir_libsuffix],[
+ svn_cv_ruby_sitedir_libsuffix="`echo "$rbconfig_sitelibdir" | \
+ $SED -e "s,^$rbconfig_sitedir,,"`"
+ ])
+ SWIG_RB_SITE_LIB_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_libsuffix}"
+ AC_MSG_RESULT([$SWIG_RB_SITE_LIB_DIR])
+
+ AC_MSG_CHECKING([where to install Ruby extensions])
+ AC_CACHE_VAL([svn_cv_ruby_sitedir_archsuffix],[
+ svn_cv_ruby_sitedir_archsuffix="`echo "$rbconfig_sitearchdir" | \
+ $SED -e "s,^$rbconfig_sitedir,,"`"
+ ])
+ SWIG_RB_SITE_ARCH_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_archsuffix}"
+ AC_MSG_RESULT([$SWIG_RB_SITE_ARCH_DIR])
+
+ AC_MSG_CHECKING([how to use output level for Ruby bindings tests])
+ AC_CACHE_VAL([svn_cv_ruby_test_verbose],[
+ svn_cv_ruby_test_verbose="normal"
+ ])
+ AC_ARG_WITH([ruby-test-verbose],
+ AS_HELP_STRING([--with-ruby-test-verbose=LEVEL],
+ [how to use output level for Ruby bindings tests
+ (default is normal)]),
+ [svn_ruby_test_verbose="$withval"],
+ [svn_ruby_test_verbose="$svn_cv_ruby_test_verbose"])
+ SWIG_RB_TEST_VERBOSE="$svn_ruby_test_verbose"
+ AC_MSG_RESULT([$SWIG_RB_TEST_VERBOSE])
+
+ dnl SWIG Ruby bindings successfully configured, clear the error message
+ SWIG_RB_ERRMSG=""
+ fi
fi
- rbconfig="$RUBY -rrbconfig -e "
-
- for var_name in arch archdir CC LDSHARED DLEXT LIBS LIBRUBYARG \
- rubyhdrdir rubyarchhdrdir sitedir sitelibdir sitearchdir libdir
- do
- rbconfig_tmp=`$rbconfig "print RbConfig::CONFIG@<:@'$var_name'@:>@"`
- eval "rbconfig_$var_name=\"$rbconfig_tmp\""
- done
-
- AC_MSG_NOTICE([Configuring Ruby SWIG binding])
-
- AC_CACHE_CHECK([for Ruby include path], [svn_cv_ruby_includes],[
- if test -d "$rbconfig_rubyhdrdir"; then
- dnl Ruby >=1.9
- svn_cv_ruby_includes="-I. -I$rbconfig_rubyhdrdir"
- if test -d "$rbconfig_rubyarchhdrdir"; then
- dnl Ruby >=2.0
- svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyarchhdrdir"
+ ],
+ [
+ # in release mode
+ SWIG_PY_COMPILE="none"
+ SWIG_PY_LINK="none"
+ SWIG_PY_OPTS="none"
+ SWIG_PY_ERRMSG="check config.log for details"
+ if test "$SWIG_PY_PYTHON" = "none"; then
+ SWIG_PY_ERRMSG="You specfied not to build Python bindings or \
+suitable Python interpreter is not found."
+ else
+ AC_MSG_NOTICE([Configuring python swig binding])
+
+ AC_CACHE_CHECK([for Python includes], [ac_cv_python_includes],[
+ ac_cv_python_includes="`$SWIG_PY_PYTHON ${abs_srcdir}/build/get-py-info.py --includes`"
+ ])
+ SWIG_PY_INCLUDES="\$(SWIG_INCLUDES) $ac_cv_python_includes"
+
+ if test "$ac_cv_python_includes" = "none"; then
+ SWIG_PY_ERRMSG="no distutils found"
+ AC_MSG_WARN([python bindings cannot be built without distutils module])
else
- svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyhdrdir/$rbconfig_arch"
+
+ python_header_found="no"
+
+ save_cppflags="$CPPFLAGS"
+ CPPFLAGS="$CPPFLAGS $ac_cv_python_includes"
+ AC_CHECK_HEADER(Python.h, [
+ python_header_found="yes"
+ ])
+ CPPFLAGS="$save_cppflags"
+
+ if test "$python_header_found" = "no"; then
+ SWIG_PY_ERRMSG="no Python.h found"
+ AC_MSG_WARN([Python.h not found; disabling python swig bindings])
+ else
+ SVN_PY3C()
+
+ if test "$py3c_found" = "no"; then
+ SWIG_PY_ERRMSG="py3c library not found"
+ AC_MSG_WARN([py3c library not found; disabling python swig bindings])
+ else
+ AC_CACHE_CHECK([for compiling Python extensions], [ac_cv_python_compile],[
+ ac_cv_python_compile="`$SWIG_PY_PYTHON ${abs_srcdir}/build/get-py-info.py --compile`"
+ ])
+ SWIG_PY_COMPILE="$ac_cv_python_compile $CFLAGS"
+
+ AC_CACHE_CHECK([for linking Python extensions], [ac_cv_python_link],[
+ ac_cv_python_link="`$SWIG_PY_PYTHON ${abs_srcdir}/build/get-py-info.py --link`"
+ ])
+ SWIG_PY_LINK="$ac_cv_python_link"
+
+ AC_CACHE_CHECK([for linking Python libraries], [ac_cv_python_libs],[
+ ac_cv_python_libs="`$SWIG_PY_PYTHON ${abs_srcdir}/build/get-py-info.py --libs`"
+ ])
+ SWIG_PY_LIBS="`SVN_REMOVE_STANDARD_LIB_DIRS($ac_cv_python_libs)`"
+
+ SWIG_PY_ERRMSG=""
+ fi
+ fi
fi
+ fi
+
+ SWIG_PL_ERRMSG="check config.log for details"
+ if test "$SWIG_PL_PERL" = "none"; then
+ SWIG_PL_ERRMSG="You specfied not to build Perl bindings or \
+suitable Perl interpreter is not found."
else
- dnl Ruby 1.8
- svn_cv_ruby_includes="-I. -I$rbconfig_archdir"
+ AC_MSG_CHECKING([perl version])
+ dnl Note that the q() bit is there to avoid unbalanced brackets
+ dnl which m4 really doesn't like.
+ PERL_VERSION="`$SWIG_PL_PERL -e 'q([[); print $]] * 1000000,$/;'`"
+ AC_MSG_RESULT([$PERL_VERSION])
+ if test "$PERL_VERSION" -ge "5008000"; then
+ SWIG_PL_INCLUDES="\$(SWIG_INCLUDES) `$SWIG_PL_PERL -MExtUtils::Embed -e ccopts`"
+ SWIG_PL_LINK="`$SWIG_PL_PERL -MExtUtils::Embed -e ldopts`"
+ SWIG_PL_LINK="`SVN_REMOVE_STANDARD_LIB_DIRS($SWIG_PL_LINK)`"
+
+ dnl SWIG Perl bindings successfully configured, clear the error message
+ SWIG_PL_ERRMSG=""
+ else
+ AC_MSG_WARN([perl bindings require perl 5.8.0 or newer.])
+ fi
fi
- ])
- SWIG_RB_INCLUDES="\$(SWIG_INCLUDES) $svn_cv_ruby_includes"
- AC_CACHE_CHECK([how to compile Ruby extensions], [svn_cv_ruby_compile],[
- svn_cv_ruby_compile="$rbconfig_CC $CFLAGS"
- ])
- SWIG_RB_COMPILE="$svn_cv_ruby_compile"
- SVN_STRIP_FLAG([SWIG_RB_COMPILE], [-ansi])
- SVN_STRIP_FLAG([SWIG_RB_COMPILE], [-std=c89])
- SVN_STRIP_FLAG([SWIG_RB_COMPILE], [-std=c90])
- dnl FIXME: Check that the compiler for Ruby actually supports this flag
- SWIG_RB_COMPILE="$SWIG_RB_COMPILE -Wno-int-to-pointer-cast"
-
- AC_CACHE_CHECK([how to link Ruby extensions], [svn_cv_ruby_link],[
- svn_cv_ruby_link="`$RUBY -e 'ARGV.shift; print ARGV.join(%q( ))' \
- $rbconfig_LDSHARED`"
- svn_cv_ruby_link="$rbconfig_CC $svn_cv_ruby_link"
- svn_cv_ruby_link="$svn_cv_ruby_link -shrext .$rbconfig_DLEXT"
- ])
- SWIG_RB_LINK="$svn_cv_ruby_link"
+ SWIG_RB_COMPILE="none"
+ SWIG_RB_LINK="none"
+ SWIG_RB_ERRMSG="check config.log for details"
+ if test "$SWIG_RB_RUBY" = "none"; then
+ SWIG_RB_ERRMSG="You specfied not to build Ruby bindings or \
+suitable Ruby interpreter is not found."
+ else
+ rbconfig="$SWIG_RB_RUBY -rrbconfig -e "
- AC_CACHE_CHECK([how to link Ruby libraries], [ac_cv_ruby_libs], [
- ac_cv_ruby_libs="$rbconfig_LIBRUBYARG $rbconfig_LIBS"
- ])
- SWIG_RB_LIBS="`SVN_REMOVE_STANDARD_LIB_DIRS($ac_cv_ruby_libs)`"
-
- AC_MSG_CHECKING([for rb_errinfo])
- old_CFLAGS="$CFLAGS"
- old_LIBS="$LIBS"
- CFLAGS="$CFLAGS $svn_cv_ruby_includes"
- SVN_STRIP_FLAG([CFLAGS], [-ansi])
- SVN_STRIP_FLAG([CFLAGS], [-std=c89])
- SVN_STRIP_FLAG([CFLAGS], [-std=c90])
- LIBS="$SWIG_RB_LIBS"
- AC_LINK_IFELSE([AC_LANG_SOURCE([[
+ for var_name in arch archdir CC LDSHARED DLEXT LIBS LIBRUBYARG \
+ rubyhdrdir rubyarchhdrdir sitedir sitelibdir sitearchdir libdir
+ do
+ rbconfig_tmp=`$rbconfig "print RbConfig::CONFIG@<:@'$var_name'@:>@"`
+ eval "rbconfig_$var_name=\"$rbconfig_tmp\""
+ done
+
+ AC_MSG_NOTICE([Configuring Ruby SWIG binding])
+
+ AC_CACHE_CHECK([for Ruby include path], [svn_cv_ruby_includes],[
+ if test -d "$rbconfig_rubyhdrdir"; then
+ dnl Ruby >=1.9
+ svn_cv_ruby_includes="-I. -I$rbconfig_rubyhdrdir"
+ if test -d "$rbconfig_rubyarchhdrdir"; then
+ dnl Ruby >=2.0
+ svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyarchhdrdir"
+ else
+ svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyhdrdir/$rbconfig_arch"
+ fi
+ else
+ dnl Ruby 1.8
+ svn_cv_ruby_includes="-I. -I$rbconfig_archdir"
+ fi
+ ])
+ SWIG_RB_INCLUDES="\$(SWIG_INCLUDES) $svn_cv_ruby_includes"
+
+ AC_CACHE_CHECK([how to compile Ruby extensions], [svn_cv_ruby_compile],[
+ svn_cv_ruby_compile="$rbconfig_CC $CFLAGS"
+ ])
+ SWIG_RB_COMPILE="$svn_cv_ruby_compile"
+ SVN_STRIP_FLAG([SWIG_RB_COMPILE], [-ansi])
+ SVN_STRIP_FLAG([SWIG_RB_COMPILE], [-std=c89])
+ SVN_STRIP_FLAG([SWIG_RB_COMPILE], [-std=c90])
+ dnl FIXME: Check that the compiler for Ruby actually supports this flag
+ SWIG_RB_COMPILE="$SWIG_RB_COMPILE -Wno-int-to-pointer-cast"
+
+ AC_CACHE_CHECK([how to link Ruby extensions], [svn_cv_ruby_link],[
+ svn_cv_ruby_link="`$SWIG_RB_RUBY -e 'ARGV.shift; print ARGV.join(%q( ))' \
+ $rbconfig_LDSHARED`"
+ svn_cv_ruby_link="$rbconfig_CC $svn_cv_ruby_link"
+ svn_cv_ruby_link="$svn_cv_ruby_link -shrext .$rbconfig_DLEXT"
+ ])
+ SWIG_RB_LINK="$svn_cv_ruby_link"
+
+ AC_CACHE_CHECK([how to link Ruby libraries], [ac_cv_ruby_libs], [
+ ac_cv_ruby_libs="$rbconfig_LIBRUBYARG $rbconfig_LIBS"
+ ])
+ SWIG_RB_LIBS="`SVN_REMOVE_STANDARD_LIB_DIRS($ac_cv_ruby_libs)`"
+
+ AC_MSG_CHECKING([for rb_errinfo])
+ old_CFLAGS="$CFLAGS"
+ old_LIBS="$LIBS"
+ CFLAGS="$CFLAGS $svn_cv_ruby_includes"
+ SVN_STRIP_FLAG([CFLAGS], [-ansi])
+ SVN_STRIP_FLAG([CFLAGS], [-std=c89])
+ SVN_STRIP_FLAG([CFLAGS], [-std=c90])
+ LIBS="$SWIG_RB_LIBS"
+ AC_LINK_IFELSE([AC_LANG_SOURCE([[
#include <ruby.h>
int main()
{rb_errinfo();}]])], have_rb_errinfo="yes", have_rb_errinfo="no")
- if test "$have_rb_errinfo" = "yes"; then
- AC_MSG_RESULT([yes])
- AC_DEFINE([HAVE_RB_ERRINFO], [1],
- [Define to 1 if you have the `rb_errinfo' function.])
- else
- AC_MSG_RESULT([no])
- fi
- CFLAGS="$old_CFLAGS"
- LIBS="$old_LIBS"
+ if test "$have_rb_errinfo" = "yes"; then
+ AC_MSG_RESULT([yes])
+ AC_DEFINE([HAVE_RB_ERRINFO], [1],
+ [Define to 1 if you have the `rb_errinfo' function.])
+ else
+ AC_MSG_RESULT([no])
+ fi
+ CFLAGS="$old_CFLAGS"
+ LIBS="$old_LIBS"
- AC_CACHE_VAL([svn_cv_ruby_sitedir],[
- svn_cv_ruby_sitedir="$rbconfig_sitedir"
- ])
- AC_ARG_WITH([ruby-sitedir],
- AS_HELP_STRING([--with-ruby-sitedir=SITEDIR],
- [install Ruby bindings in SITEDIR
- (default is same as ruby's one)]),
- [svn_ruby_installdir="$withval"],
- [svn_ruby_installdir="$svn_cv_ruby_sitedir"])
-
- AC_MSG_CHECKING([where to install Ruby scripts])
- AC_CACHE_VAL([svn_cv_ruby_sitedir_libsuffix],[
- svn_cv_ruby_sitedir_libsuffix="`echo "$rbconfig_sitelibdir" | \
- $SED -e "s,^$rbconfig_sitedir,,"`"
- ])
- SWIG_RB_SITE_LIB_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_libsuffix}"
- AC_MSG_RESULT([$SWIG_RB_SITE_LIB_DIR])
+ AC_CACHE_VAL([svn_cv_ruby_sitedir],[
+ svn_cv_ruby_sitedir="$rbconfig_sitedir"
+ ])
+ AC_ARG_WITH([ruby-sitedir],
+ AS_HELP_STRING([--with-ruby-sitedir=SITEDIR],
+ [install Ruby bindings in SITEDIR
+ (default is same as ruby's one)]),
+ [svn_ruby_installdir="$withval"],
+ [svn_ruby_installdir="$svn_cv_ruby_sitedir"])
- AC_MSG_CHECKING([where to install Ruby extensions])
- AC_CACHE_VAL([svn_cv_ruby_sitedir_archsuffix],[
- svn_cv_ruby_sitedir_archsuffix="`echo "$rbconfig_sitearchdir" | \
- $SED -e "s,^$rbconfig_sitedir,,"`"
- ])
- SWIG_RB_SITE_ARCH_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_archsuffix}"
- AC_MSG_RESULT([$SWIG_RB_SITE_ARCH_DIR])
+ AC_MSG_CHECKING([where to install Ruby scripts])
+ AC_CACHE_VAL([svn_cv_ruby_sitedir_libsuffix],[
+ svn_cv_ruby_sitedir_libsuffix="`echo "$rbconfig_sitelibdir" | \
+ $SED -e "s,^$rbconfig_sitedir,,"`"
+ ])
+ SWIG_RB_SITE_LIB_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_libsuffix}"
+ AC_MSG_RESULT([$SWIG_RB_SITE_LIB_DIR])
- AC_MSG_CHECKING([how to use output level for Ruby bindings tests])
- AC_CACHE_VAL([svn_cv_ruby_test_verbose],[
- svn_cv_ruby_test_verbose="normal"
- ])
- AC_ARG_WITH([ruby-test-verbose],
- AS_HELP_STRING([--with-ruby-test-verbose=LEVEL],
- [how to use output level for Ruby bindings tests
- (default is normal)]),
- [svn_ruby_test_verbose="$withval"],
- [svn_ruby_test_verbose="$svn_cv_ruby_test_verbose"])
- SWIG_RB_TEST_VERBOSE="$svn_ruby_test_verbose"
- AC_MSG_RESULT([$SWIG_RB_TEST_VERBOSE])
-
- dnl SWIG Ruby bindings successfully configured, clear the error message
- SWIG_RB_ERRMSG=""
- fi
+ AC_MSG_CHECKING([where to install Ruby extensions])
+ AC_CACHE_VAL([svn_cv_ruby_sitedir_archsuffix],[
+ svn_cv_ruby_sitedir_archsuffix="`echo "$rbconfig_sitearchdir" | \
+ $SED -e "s,^$rbconfig_sitedir,,"`"
+ ])
+ SWIG_RB_SITE_ARCH_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_archsuffix}"
+ AC_MSG_RESULT([$SWIG_RB_SITE_ARCH_DIR])
+
+ AC_MSG_CHECKING([how to use output level for Ruby bindings tests])
+ AC_CACHE_VAL([svn_cv_ruby_test_verbose],[
+ svn_cv_ruby_test_verbose="normal"
+ ])
+ AC_ARG_WITH([ruby-test-verbose],
+ AS_HELP_STRING([--with-ruby-test-verbose=LEVEL],
+ [how to use output level for Ruby bindings tests
+ (default is normal)]),
+ [svn_ruby_test_verbose="$withval"],
+ [svn_ruby_test_verbose="$svn_cv_ruby_test_verbose"])
+ SWIG_RB_TEST_VERBOSE="$svn_ruby_test_verbose"
+ AC_MSG_RESULT([$SWIG_RB_TEST_VERBOSE])
+
+ dnl SWIG Ruby bindings successfully configured, clear the error message
+ SWIG_RB_ERRMSG=""
+ fi
+ ])
AC_SUBST(SWIG)
AC_SUBST(SWIG_PY_INCLUDES)
AC_SUBST(SWIG_PY_COMPILE)
diff --git a/build/generator/gen_base.py b/build/generator/gen_base.py
index f3041f9..4a3f178 100644
--- a/build/generator/gen_base.py
+++ b/build/generator/gen_base.py
@@ -35,6 +35,7 @@ try:
except ImportError:
# Python <3.0
import ConfigParser as configparser
+ configparser.ConfigParser.read_file = configparser.ConfigParser.readfp
import generator.swig
import getversion
@@ -76,7 +77,7 @@ class GeneratorBase:
# Now read and parse build.conf
parser = configparser.ConfigParser()
- parser.readfp(open(fname))
+ parser.read_file(open(fname))
self.conf = build_path(os.path.abspath(fname))
diff --git a/build/generator/gen_win_dependencies.py b/build/generator/gen_win_dependencies.py
index 4b254c9..18e4e43 100644
--- a/build/generator/gen_win_dependencies.py
+++ b/build/generator/gen_win_dependencies.py
@@ -1030,12 +1030,13 @@ class GenDependenciesBase(gen_base.GeneratorBase):
"Find the appropriate options for creating SWIG-based Python modules"
try:
- from distutils import sysconfig
-
- inc_dir = sysconfig.get_python_inc()
- lib_dir = os.path.join(sysconfig.PREFIX, "libs")
+ import sysconfig
except ImportError:
return
+ config_vars = sysconfig.get_config_vars()
+ inc_dir = config_vars['INCLUDEPY']
+ base_dir = config_vars.get('installed_base') or config_vars.get('base')
+ lib_dir = os.path.join(base_dir, 'libs')
if sys.version_info[0] >= 3:
if self.swig_version < (3, 0, 10):
@@ -1044,8 +1045,13 @@ class GenDependenciesBase(gen_base.GeneratorBase):
return
if self.swig_version < (4, 0, 0):
opts = "-python -py3 -nofastunpack -modern"
- else:
+ elif self.swig_version < (4, 1, 0):
opts = "-python -py3 -nofastunpack"
+ else:
+ opts = "-python -nofastunpack"
+ if show_warnings and self.swig_version > (4, 0, 2):
+ print("WARNING: Subversion Python bindings may work,\n"
+ "but we didn't check with this SWIG version.")
else:
if not ((1, 3, 24) <= self.swig_version < (4, 0, 0)):
if show_warnings:
diff --git a/build/get-py-info.py b/build/get-py-info.py
index 95bad41..e31635c 100644
--- a/build/get-py-info.py
+++ b/build/get-py-info.py
@@ -44,15 +44,24 @@ if len(sys.argv) != 2:
usage()
try:
- from distutils import sysconfig
+ if sys.version_info[0] == 2:
+ from distutils import sysconfig
+ get_include = lambda: sysconfig.get_python_inc()
+ get_platinclude = lambda: sysconfig.get_python_inc(plat_specific=1)
+ get_purelib = lambda: sysconfig.get_python_lib()
+ else:
+ import sysconfig
+ get_include = lambda: sysconfig.get_path('include')
+ get_platinclude = lambda: sysconfig.get_path('platinclude')
+ get_purelib = lambda: sysconfig.get_path('purelib')
except ImportError:
# No information available
print("none")
sys.exit(1)
if sys.argv[1] == '--includes':
- inc = sysconfig.get_python_inc()
- plat = sysconfig.get_python_inc(plat_specific=1)
+ inc = get_include()
+ plat = get_platinclude()
if inc == plat:
print("-I" + inc)
else:
@@ -140,7 +149,7 @@ if sys.argv[1] == '--libs':
sys.exit(0)
if sys.argv[1] == '--site':
- print(sysconfig.get_python_lib())
+ print(get_purelib())
sys.exit(0)
usage()
diff --git a/configure b/configure
index b3c0ae2..53e8767 100755
--- a/configure
+++ b/configure
@@ -1,6 +1,6 @@
#! /bin/sh
# Guess values for system-dependent variables and create Makefiles.
-# Generated by GNU Autoconf 2.69 for subversion 1.14.2.
+# Generated by GNU Autoconf 2.69 for subversion 1.14.3.
#
# Report bugs to <http://subversion.apache.org/>.
#
@@ -590,8 +590,8 @@ MAKEFLAGS=
# Identity of this package.
PACKAGE_NAME='subversion'
PACKAGE_TARNAME='subversion'
-PACKAGE_VERSION='1.14.2'
-PACKAGE_STRING='subversion 1.14.2'
+PACKAGE_VERSION='1.14.3'
+PACKAGE_STRING='subversion 1.14.3'
PACKAGE_BUGREPORT='http://subversion.apache.org/'
PACKAGE_URL=''
@@ -675,13 +675,16 @@ SWIG_PY_LIBS
SWIG_PY_LINK
SWIG_PY_COMPILE
SWIG_PY_INCLUDES
-SVN_PY3C_INCLUDES
SWIG
+SVN_PY3C_INCLUDES
RUBY_TEENY
RUBY_MINOR
RUBY_MAJOR
RDOC
+SWIG_RB_RUBY
RUBY
+SWIG_PY_PYTHON
+SWIG_PL_PERL
PERL
JNI_INCLUDES
JAR
@@ -931,7 +934,9 @@ enable_gcov
enable_gprof
with_jdk
with_jikes
-with_swig
+with_swig_perl
+with_swig_python
+with_swig_ruby
with_py3c
with_ruby_sitedir
with_ruby_test_verbose
@@ -1504,7 +1509,7 @@ if test "$ac_init_help" = "long"; then
# Omit some internal or obsolete options to make the list less imposing.
# This message is too long to be a string in the A/UX 3.1 sh.
cat <<_ACEOF
-\`configure' configures subversion 1.14.2 to adapt to many kinds of systems.
+\`configure' configures subversion 1.14.3 to adapt to many kinds of systems.
Usage: $0 [OPTION]... [VAR=VALUE]...
@@ -1570,7 +1575,7 @@ fi
if test -n "$ac_init_help"; then
case $ac_init_help in
- short | recursive ) echo "Configuration of subversion 1.14.2:";;
+ short | recursive ) echo "Configuration of subversion 1.14.3:";;
esac
cat <<\_ACEOF
@@ -1706,9 +1711,24 @@ Optional Packages:
If PATH is not specified, look for a Java
Development Kit at JAVA_HOME.
--with-jikes=PATH Deprecated. Provided for backward compatibility.
- --with-swig=PATH Try to use 'PATH/bin/swig' to build the swig
- bindings. If PATH is not specified, look for a
- 'swig' binary in your PATH.
+ --with-swig-perl[=PATH|auto|no]|--without-swig-perl
+ Specify path to SWIG bindings target Perl
+ interpreter [default=auto]. If the option value is
+ 'auto' or it is not specfied, search for the Perl
+ program.
+
+ --with-swig-python[=PATH|auto|no]|--without-swig-python
+ Specify path to SWIG bindings target Python
+ interpreter [default=auto]. If the option value is
+ 'auto' or it is not specfied, search for the Python
+ program.
+
+ --with-swig-ruby[=PATH|auto|no]|--without-swig-ruby
+ specify path to SWIG bindings target Ruby
+ interpreter [default=auto]. If the option value is
+ 'auto' or it is not specfied, search for the Ruby
+ program.
+
--with-py3c=PREFIX py3c python extension compatibility library
--with-ruby-sitedir=SITEDIR
install Ruby bindings in SITEDIR (default is same as
@@ -1826,7 +1846,7 @@ fi
test -n "$ac_init_help" && exit $ac_status
if $ac_init_version; then
cat <<\_ACEOF
-subversion configure 1.14.2
+subversion configure 1.14.3
generated by GNU Autoconf 2.69
Copyright (C) 2012 Free Software Foundation, Inc.
@@ -2370,7 +2390,7 @@ cat >config.log <<_ACEOF
This file contains any messages produced by compilers while
running configure, to aid debugging if configure makes a mistake.
-It was created by subversion $as_me 1.14.2, which was
+It was created by subversion $as_me 1.14.3, which was
generated by GNU Autoconf 2.69. Invocation command line was
$ $0 $@
@@ -2750,8 +2770,8 @@ ac_configure="$SHELL $ac_aux_dir/configure" # Please don't use this var.
-{ $as_echo "$as_me:${as_lineno-$LINENO}: Configuring Subversion 1.14.2" >&5
-$as_echo "$as_me: Configuring Subversion 1.14.2" >&6;}
+{ $as_echo "$as_me:${as_lineno-$LINENO}: Configuring Subversion 1.14.3" >&5
+$as_echo "$as_me: Configuring Subversion 1.14.3" >&6;}
abs_srcdir="`cd $srcdir && pwd`"
@@ -23358,15 +23378,13 @@ fi
# Scripting and Bindings languages
-# Python: Used for testsuite, and bindings
+# Python: Used for testsuite
PYTHON="`$abs_srcdir/build/find_python.sh`"
if test -z "$PYTHON"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Python 2.7 or later is required to run the testsuite" >&5
-$as_echo "$as_me: WARNING: Python 2.7 or later is required to run the testsuite" >&2;}
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: or to use the Subversion Python bindings" >&5
-$as_echo "$as_me: WARNING: or to use the Subversion Python bindings" >&2;}
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Python 2.7 or later is required to run the testsuite." >&5
+$as_echo "$as_me: WARNING: Python 2.7 or later is required to run the testsuite." >&2;}
{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: " >&5
$as_echo "$as_me: WARNING: " >&2;}
{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: If you have a suitable Python installed, but not on the" >&5
@@ -23375,52 +23393,8 @@ $as_echo "$as_me: WARNING: If you have a suitable Python installed, but not on t
$as_echo "$as_me: WARNING: PATH, set the environment variable PYTHON to the full path" >&2;}
{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: to the Python executable, and re-run configure" >&5
$as_echo "$as_me: WARNING: to the Python executable, and re-run configure" >&2;}
+ PYTHON=none
fi
-for ac_prog in "$PYTHON"
-do
- # Extract the first word of "$ac_prog", so it can be a program name with args.
-set dummy $ac_prog; ac_word=$2
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
-$as_echo_n "checking for $ac_word... " >&6; }
-if ${ac_cv_path_PYTHON+:} false; then :
- $as_echo_n "(cached) " >&6
-else
- case $PYTHON in
- [\\/]* | ?:[\\/]*)
- ac_cv_path_PYTHON="$PYTHON" # Let the user override the test with a path.
- ;;
- *)
- as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
- IFS=$as_save_IFS
- test -z "$as_dir" && as_dir=.
- for ac_exec_ext in '' $ac_executable_extensions; do
- if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
- ac_cv_path_PYTHON="$as_dir/$ac_word$ac_exec_ext"
- $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
- break 2
- fi
-done
- done
-IFS=$as_save_IFS
-
- ;;
-esac
-fi
-PYTHON=$ac_cv_path_PYTHON
-if test -n "$PYTHON"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $PYTHON" >&5
-$as_echo "$PYTHON" >&6; }
-else
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
-fi
-
-
- test -n "$PYTHON" && break
-done
-test -n "$PYTHON" || PYTHON="none"
# The minimum version for the JVM runtime for our Java bytecode.
@@ -23863,7 +23837,44 @@ fi
-# Extract the first word of "perl", so it can be a program name with args.
+
+# Check whether --with-swig_perl was given.
+if test "${with_swig_perl+set}" = set; then :
+ withval=$with_swig_perl;
+else
+
+if test -n "$PERL"; then
+ if test "$PERL" = "no" -o "$PERL" = "none"; then
+ with_swig_perl=no
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Disabling the SWIG Perl bindings' build by setting the PERL" >&5
+$as_echo "$as_me: WARNING: Disabling the SWIG Perl bindings' build by setting the PERL" >&2;}
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: environment variable to \"none\" is deprecated." >&5
+$as_echo "$as_me: WARNING: environment variable to \"none\" is deprecated." >&2;}
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: " >&5
+$as_echo "$as_me: WARNING: " >&2;}
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Please use --without-swig-perl instead." >&5
+$as_echo "$as_me: WARNING: Please use --without-swig-perl instead." >&2;}
+ else
+ with_swig_perl=auto
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Specfying the Perl path for SWIG Perl bindings' build" >&5
+$as_echo "$as_me: WARNING: Specfying the Perl path for SWIG Perl bindings' build" >&2;}
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: by setting the PERL environment variable is deprecated." >&5
+$as_echo "$as_me: WARNING: by setting the PERL environment variable is deprecated." >&2;}
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: " >&5
+$as_echo "$as_me: WARNING: " >&2;}
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Please use --with-swig-perl=PATH instead." >&5
+$as_echo "$as_me: WARNING: Please use --with-swig-perl=PATH instead." >&2;}
+ fi
+else
+ with_swig_perl=auto
+fi
+
+fi
+
+case $with_swig_perl in
+ yes|auto|"")
+ # honor PERL variable only if it is set and is full path.
+ # Extract the first word of "perl", so it can be a program name with args.
set dummy perl; ac_word=$2
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
$as_echo_n "checking for $ac_word... " >&6; }
@@ -23904,51 +23915,79 @@ $as_echo "no" >&6; }
fi
+ SWIG_PL_PERL="$PERL"
+ ;;
+ no|none)
+ SWIG_PL_PERL=none
+ ;;
+ *)
+ SWIG_PL_PERL="$with_swig_perl"
+ ;;
+esac
-if test -n "$RUBY"; then
- # Extract the first word of ""$RUBY"", so it can be a program name with args.
-set dummy "$RUBY"; ac_word=$2
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
-$as_echo_n "checking for $ac_word... " >&6; }
-if ${ac_cv_path_RUBY+:} false; then :
- $as_echo_n "(cached) " >&6
+
+# Python: as a target of SWIG Python bindings
+
+# Check whether --with-swig_python was given.
+if test "${with_swig_python+set}" = set; then :
+ withval=$with_swig_python;
else
- case $RUBY in
- [\\/]* | ?:[\\/]*)
- ac_cv_path_RUBY="$RUBY" # Let the user override the test with a path.
- ;;
- *)
- as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
- IFS=$as_save_IFS
- test -z "$as_dir" && as_dir=.
- for ac_exec_ext in '' $ac_executable_extensions; do
- if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
- ac_cv_path_RUBY="$as_dir/$ac_word$ac_exec_ext"
- $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
- break 2
- fi
-done
- done
-IFS=$as_save_IFS
- test -z "$ac_cv_path_RUBY" && ac_cv_path_RUBY="none"
- ;;
-esac
+ with_swig_python=auto
+
fi
-RUBY=$ac_cv_path_RUBY
+
+case $with_swig_python in
+ yes|auto|"")
+ SWIG_PY_PYTHON="$PYTHON"
+ ;;
+ no|none)
+ SWIG_PY_PYTHON=none
+ ;;
+ *)
+ SWIG_PY_PYTHON="$with_swig_python"
+ ;;
+esac
+
+
+
+# Check whether --with-swig_ruby was given.
+if test "${with_swig_ruby+set}" = set; then :
+ withval=$with_swig_ruby;
+else
+
if test -n "$RUBY"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $RUBY" >&5
-$as_echo "$RUBY" >&6; }
+ if test "$RUBY" = "no" -o "$RUBY" = "none"; then
+ with_swig_ruby=no
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Disabling the SWIG Ruby bindings' build by setting the RUBY" >&5
+$as_echo "$as_me: WARNING: Disabling the SWIG Ruby bindings' build by setting the RUBY" >&2;}
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: environment variable to \"none\" is deprecated." >&5
+$as_echo "$as_me: WARNING: environment variable to \"none\" is deprecated." >&2;}
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: " >&5
+$as_echo "$as_me: WARNING: " >&2;}
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Please use --without-swig-ruby instead." >&5
+$as_echo "$as_me: WARNING: Please use --without-swig-ruby instead." >&2;}
+ else
+ with_swig_ruby="$RUBY"
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Specfying the Ruby path for SWIG Ruby bindings' build" >&5
+$as_echo "$as_me: WARNING: Specfying the Ruby path for SWIG Ruby bindings' build" >&2;}
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: by setting the RUBY environment variable is deprecated." >&5
+$as_echo "$as_me: WARNING: by setting the RUBY environment variable is deprecated." >&2;}
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: " >&5
+$as_echo "$as_me: WARNING: " >&2;}
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Please use --with-swig-ruby=PATH instead." >&5
+$as_echo "$as_me: WARNING: Please use --with-swig-ruby=PATH instead." >&2;}
+ fi
else
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
+ with_swig_ruby=auto
fi
+fi
-else
- for ac_prog in ruby ruby1 ruby1.8 ruby18 ruby1.9 ruby19 ruby1.9.3 ruby193 ruby2 ruby2.0 ruby20 ruby2.1 ruby21 ruby2.2 ruby22 ruby2.3 ruby23 ruby2.4 ruby24
+case $with_swig_ruby in
+ yes|auto|"")
+ # honor RUBY variable only if it is set and is full path.
+ for ac_prog in ruby ruby1 ruby1.8 ruby18 ruby1.9 ruby19 ruby1.9.3 ruby193 ruby2 ruby2.0 ruby20 ruby2.1 ruby21 ruby2.2 ruby22 ruby2.3 ruby23 ruby2.4 ruby24
do
# Extract the first word of "$ac_prog", so it can be a program name with args.
set dummy $ac_prog; ac_word=$2
@@ -23994,11 +24033,20 @@ fi
done
test -n "$RUBY" || RUBY="none"
-fi
-if test "$RUBY" != "none"; then
+ SWIG_RB_RUBY="$RUBY"
+ ;;
+ no|none)
+ SWIG_RB_RUBY=none
+ ;;
+ *)
+ SWIG_RB_RUBY="$with_swig_ruby"
+ ;;
+esac
+
+if test "$SWIG_RB_RUBY" != "none"; then
{ $as_echo "$as_me:${as_lineno-$LINENO}: checking rb_hash_foreach" >&5
$as_echo_n "checking rb_hash_foreach... " >&6; }
- if "$RUBY" -r mkmf -e 'exit(have_func("rb_hash_foreach") ? 0 : 1)' >/dev/null; then
+ if "$SWIG_RB_RUBY" -r mkmf -e 'exit(have_func("rb_hash_foreach") ? 0 : 1)' >/dev/null; then
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
if test -n "$RDOC"; then
@@ -24097,7 +24145,7 @@ if ${svn_cv_ruby_major+:} false; then :
$as_echo_n "(cached) " >&6
else
- svn_cv_ruby_major="`$RUBY -rrbconfig -e 'print RbConfig::CONFIG.fetch(%q(MAJOR))'`"
+ svn_cv_ruby_major="`$SWIG_RB_RUBY -rrbconfig -e 'print RbConfig::CONFIG.fetch(%q(MAJOR))'`"
fi
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $svn_cv_ruby_major" >&5
@@ -24110,7 +24158,7 @@ if ${svn_cv_ruby_minor+:} false; then :
$as_echo_n "(cached) " >&6
else
- svn_cv_ruby_minor="`$RUBY -rrbconfig -e 'print RbConfig::CONFIG.fetch(%q(MINOR))'`"
+ svn_cv_ruby_minor="`$SWIG_RB_RUBY -rrbconfig -e 'print RbConfig::CONFIG.fetch(%q(MINOR))'`"
fi
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $svn_cv_ruby_minor" >&5
@@ -24123,7 +24171,7 @@ if ${svn_cv_ruby_teeny+:} false; then :
$as_echo_n "(cached) " >&6
else
- svn_cv_ruby_teeny="`$RUBY -rrbconfig -e 'major, minor, teeny = RUBY_VERSION.split("."); print teeny;'`"
+ svn_cv_ruby_teeny="`$SWIG_RB_RUBY -rrbconfig -e 'major, minor, teeny = RUBY_VERSION.split("."); print teeny;'`"
fi
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $svn_cv_ruby_teeny" >&5
@@ -24135,7 +24183,7 @@ $as_echo "$svn_cv_ruby_teeny" >&6; }
if test \( "$RUBY_MAJOR" -eq "1" -a "$RUBY_MINOR" -gt "8" -a "$RUBY_TEENY" -lt "3" \); then
# Disallow Ruby between 1.8.7 and 1.9.3
- RUBY="none"
+ SWIG_RB_RUBY="none"
{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: The detected Ruby is between 1.9 and 1.9.3" >&5
$as_echo "$as_me: WARNING: The detected Ruby is between 1.9 and 1.9.3" >&2;}
{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Only 1.8.x and 1.9.3 or later are supported at this time" >&5
@@ -24144,7 +24192,7 @@ $as_echo "$as_me: WARNING: Only 1.8.x and 1.9.3 or later are supported at this t
else
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
$as_echo "no" >&6; }
- RUBY="none"
+ SWIG_RB_RUBY="none"
{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: The detected Ruby is too old for Subversion to use" >&5
$as_echo "$as_me: WARNING: The detected Ruby is too old for Subversion to use" >&2;}
{ $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: A Ruby which has rb_hash_foreach is required to use the" >&5
@@ -24158,788 +24206,58 @@ fi
-# Check whether --with-swig was given.
-if test "${with_swig+set}" = set; then :
- withval=$with_swig;
- case "$withval" in
- "no")
- SWIG_SUITABLE=no
-
- where=no
- if test $where = no; then
- SWIG=none
- elif test $where = required || test $where = check; then
- # Extract the first word of "swig", so it can be a program name with args.
-set dummy swig; ac_word=$2
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
-$as_echo_n "checking for $ac_word... " >&6; }
-if ${ac_cv_path_SWIG+:} false; then :
- $as_echo_n "(cached) " >&6
-else
- case $SWIG in
- [\\/]* | ?:[\\/]*)
- ac_cv_path_SWIG="$SWIG" # Let the user override the test with a path.
- ;;
- *)
- as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
- IFS=$as_save_IFS
- test -z "$as_dir" && as_dir=.
- for ac_exec_ext in '' $ac_executable_extensions; do
- if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
- ac_cv_path_SWIG="$as_dir/$ac_word$ac_exec_ext"
- $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
- break 2
- fi
-done
- done
-IFS=$as_save_IFS
-
- test -z "$ac_cv_path_SWIG" && ac_cv_path_SWIG="none"
- ;;
-esac
-fi
-SWIG=$ac_cv_path_SWIG
-if test -n "$SWIG"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG" >&5
-$as_echo "$SWIG" >&6; }
-else
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
-fi
-
-
- if test "$SWIG" = "none" && test $where = required; then
- as_fn_error $? "SWIG required, but not found" "$LINENO" 5
- fi
- else
- if test -f "$where"; then
- SWIG="$where"
- else
- SWIG="$where/bin/swig"
- fi
- if test ! -f "$SWIG" || test ! -x "$SWIG"; then
- as_fn_error $? "Could not find swig binary at $SWIG" "$LINENO" 5
- fi
- fi
- if test "$SWIG" != "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking swig version" >&5
-$as_echo_n "checking swig version... " >&6; }
- SWIG_VERSION_RAW="`$SWIG -version 2>&1 | \
- $SED -ne 's/^.*Version \(.*\)$/\1/p'`"
- # We want the version as an integer so we can test against
- # which version we're using. SWIG doesn't provide this
- # to us so we have to come up with it on our own.
- # The major is passed straight through,
- # the minor is zero padded to two places,
- # and the patch level is zero padded to three places.
- # e.g. 1.3.24 becomes 103024
- SWIG_VERSION="`echo \"$SWIG_VERSION_RAW\" | \
- $SED -e 's/[^0-9\.].*$//' \
- -e 's/\.\([0-9]\)$/.0\1/' \
- -e 's/\.\([0-9][0-9]\)$/.0\1/' \
- -e 's/\.\([0-9]\)\./0\1/; s/\.//g;'`"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_VERSION_RAW" >&5
-$as_echo "$SWIG_VERSION_RAW" >&6; }
- # If you change the required swig version number, don't forget to update:
- # subversion/bindings/swig/INSTALL
- if test -n "$SWIG_VERSION" && test "$SWIG_VERSION" -ge "103024"; then
- SWIG_SUITABLE=yes
+ # in release mode
+ SWIG_PY_COMPILE="none"
+ SWIG_PY_LINK="none"
+ SWIG_PY_OPTS="none"
+ SWIG_PY_ERRMSG="check config.log for details"
+ if test "$SWIG_PY_PYTHON" = "none"; then
+ SWIG_PY_ERRMSG="You specfied not to build Python bindings or \
+suitable Python interpreter is not found."
else
- SWIG_SUITABLE=no
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Detected SWIG version $SWIG_VERSION_RAW" >&5
-$as_echo "$as_me: WARNING: Detected SWIG version $SWIG_VERSION_RAW" >&2;}
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Subversion requires SWIG >= 1.3.24" >&5
-$as_echo "$as_me: WARNING: Subversion requires SWIG >= 1.3.24" >&2;}
- fi
- fi
-
- SWIG_PY_COMPILE="none"
- SWIG_PY_LINK="none"
- SWIG_PY_OPTS="none"
- SWIG_PY_ERRMSG="check config.log for details"
- if test "$PYTHON" != "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: Configuring python swig binding" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: Configuring python swig binding" >&5
$as_echo "$as_me: Configuring python swig binding" >&6;}
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Python includes" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Python includes" >&5
$as_echo_n "checking for Python includes... " >&6; }
if ${ac_cv_python_includes+:} false; then :
$as_echo_n "(cached) " >&6
else
- ac_cv_python_includes="`$PYTHON ${abs_srcdir}/build/get-py-info.py --includes`"
+ ac_cv_python_includes="`$SWIG_PY_PYTHON ${abs_srcdir}/build/get-py-info.py --includes`"
fi
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_includes" >&5
$as_echo "$ac_cv_python_includes" >&6; }
- SWIG_PY_INCLUDES="\$(SWIG_INCLUDES) $ac_cv_python_includes"
+ SWIG_PY_INCLUDES="\$(SWIG_INCLUDES) $ac_cv_python_includes"
- if test "$ac_cv_python_includes" = "none"; then
- SWIG_PY_ERRMSG="no distutils found"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: python bindings cannot be built without distutils module" >&5
+ if test "$ac_cv_python_includes" = "none"; then
+ SWIG_PY_ERRMSG="no distutils found"
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: python bindings cannot be built without distutils module" >&5
$as_echo "$as_me: WARNING: python bindings cannot be built without distutils module" >&2;}
- else
-
- python_header_found="no"
-
- save_cppflags="$CPPFLAGS"
- CPPFLAGS="$CPPFLAGS $ac_cv_python_includes"
- ac_fn_c_check_header_mongrel "$LINENO" "Python.h" "ac_cv_header_Python_h" "$ac_includes_default"
-if test "x$ac_cv_header_Python_h" = xyes; then :
-
- python_header_found="yes"
-
-fi
-
-
- CPPFLAGS="$save_cppflags"
-
- if test "$python_header_found" = "no"; then
- SWIG_PY_ERRMSG="no Python.h found"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Python.h not found; disabling python swig bindings" >&5
-$as_echo "$as_me: WARNING: Python.h not found; disabling python swig bindings" >&2;}
else
- py3c_found=no
- py3c_skip=no
-
-
-# Check whether --with-py3c was given.
-if test "${with_py3c+set}" = set; then :
- withval=$with_py3c;
- if test "$withval" = "yes"; then
- py3c_skip=no
- elif test "$withval" = "no"; then
- py3c_skip=yes
- else
- py3c_skip=no
- py3c_prefix="$withval"
- fi
-
-fi
-
-
- if test "$py3c_skip" = "yes"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: Skipping configure of py3c" >&5
-$as_echo "$as_me: Skipping configure of py3c" >&6;}
- else
- if test -n "$py3c_prefix"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: py3c library configuration via prefix $py3c_prefix" >&5
-$as_echo "$as_me: py3c library configuration via prefix $py3c_prefix" >&6;}
-
- if test "$ac_cv_python_includes" = "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: py3c cannot be used without distutils module" >&5
-$as_echo "$as_me: WARNING: py3c cannot be used without distutils module" >&2;}
- fi
-
- save_cppflags="$CPPFLAGS"
- CPPFLAGS="$CPPFLAGS $ac_cv_python_includes -I$py3c_prefix/include"
- for ac_header in py3c.h
-do :
- ac_fn_c_check_header_mongrel "$LINENO" "py3c.h" "ac_cv_header_py3c_h" "$ac_includes_default"
-if test "x$ac_cv_header_py3c_h" = xyes; then :
- cat >>confdefs.h <<_ACEOF
-#define HAVE_PY3C_H 1
-_ACEOF
-
- py3c_found="yes"
- SVN_PY3C_INCLUDES="-I$py3c_prefix/include"
-
-fi
-
-done
-
- CPPFLAGS="$save_cppflags"
- else
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: py3c library configuration via pkg-config" >&5
-$as_echo "$as_me: py3c library configuration via pkg-config" >&6;}
- if test -n "$PKG_CONFIG"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for py3c library" >&5
-$as_echo_n "checking for py3c library... " >&6; }
- if $PKG_CONFIG py3c --exists; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
-$as_echo "yes" >&6; }
- py3c_found=yes
- SVN_PY3C_INCLUDES=`$PKG_CONFIG py3c --cflags`
- else
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
- fi
- fi
-
-
- if test "$py3c_found" = "no"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: py3c library configuration without pkg-config" >&5
-$as_echo "$as_me: py3c library configuration without pkg-config" >&6;}
-
- if test "$ac_cv_python_includes" = "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: py3c cannot be used without distutils module" >&5
-$as_echo "$as_me: WARNING: py3c cannot be used without distutils module" >&2;}
- fi
+ python_header_found="no"
save_cppflags="$CPPFLAGS"
CPPFLAGS="$CPPFLAGS $ac_cv_python_includes"
- ac_fn_c_check_header_mongrel "$LINENO" "py3c.h" "ac_cv_header_py3c_h" "$ac_includes_default"
-if test "x$ac_cv_header_py3c_h" = xyes; then :
-
- py3c_found="yes"
-
-fi
-
-
- CPPFLAGS="$save_cppflags"
- fi
- fi
- fi
-
-
-
-
- if test "$py3c_found" = "no"; then
- SWIG_PY_ERRMSG="py3c library not found"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: py3c library not found; disabling python swig bindings" >&5
-$as_echo "$as_me: WARNING: py3c library not found; disabling python swig bindings" >&2;}
- else
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for compiling Python extensions" >&5
-$as_echo_n "checking for compiling Python extensions... " >&6; }
-if ${ac_cv_python_compile+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_compile="`$PYTHON ${abs_srcdir}/build/get-py-info.py --compile`"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_compile" >&5
-$as_echo "$ac_cv_python_compile" >&6; }
- SWIG_PY_COMPILE="$ac_cv_python_compile $CFLAGS"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for linking Python extensions" >&5
-$as_echo_n "checking for linking Python extensions... " >&6; }
-if ${ac_cv_python_link+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_link="`$PYTHON ${abs_srcdir}/build/get-py-info.py --link`"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_link" >&5
-$as_echo "$ac_cv_python_link" >&6; }
- SWIG_PY_LINK="$ac_cv_python_link"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for linking Python libraries" >&5
-$as_echo_n "checking for linking Python libraries... " >&6; }
-if ${ac_cv_python_libs+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_libs="`$PYTHON ${abs_srcdir}/build/get-py-info.py --libs`"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_libs" >&5
-$as_echo "$ac_cv_python_libs" >&6; }
- SWIG_PY_LIBS="`
- input_flags="$ac_cv_python_libs"
- output_flags=""
- filtered_dirs="/lib /lib64 /usr/lib /usr/lib64"
- for flag in $input_flags; do
- filter="no"
- for dir in $filtered_dirs; do
- if test "$flag" = "-L$dir" || test "$flag" = "-L$dir/"; then
- filter="yes"
- break
- fi
- done
- if test "$filter" = "no"; then
- output_flags="$output_flags $flag"
- fi
- done
- if test -n "$output_flags"; then
- printf "%s" "${output_flags# }"
- fi
-`"
-
- if test "$SWIG" = "none"; then
- SWIG_PY_ERRMSG=""
- else
- # Look more closely at the SWIG and Python versions to
- # determine SWIG_PY_OPTS. We can skip this if we already
- # have the SWIG-generated files.
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Python >= 3" >&5
-$as_echo_n "checking for Python >= 3... " >&6; }
-if ${ac_cv_python_is_py3+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_is_py3="no"
- $PYTHON -c 'import sys; sys.exit(0x3000000 > sys.hexversion)' && \
- ac_cv_python_is_py3="yes"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_is_py3" >&5
-$as_echo "$ac_cv_python_is_py3" >&6; }
-
- if test "$ac_cv_python_is_py3" = "yes"; then
- if test "$SWIG_VERSION" -ge "300010"; then
- SWIG_PY_ERRMSG=""
- else
- SWIG_PY_ERRMSG="SWIG version is not suitable"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Subversion Python bindings for Python 3 require SWIG 3.0.10 or newer" >&5
-$as_echo "$as_me: WARNING: Subversion Python bindings for Python 3 require SWIG 3.0.10 or newer" >&2;}
- fi
- if test "$SWIG_VERSION" -lt "400000"; then
- SWIG_PY_OPTS="-python -py3 -nofastunpack -modern"
- else
- SWIG_PY_OPTS="-python -py3 -nofastunpack"
- fi
- else
- if test "$SWIG_VERSION" -lt "400000"; then
- SWIG_PY_OPTS="-python -classic"
- SWIG_PY_ERRMSG=""
- else
- SWIG_PY_OPTS="-python -nofastunpack"
- SWIG_PY_ERRMSG="SWIG version is not suitable"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Subversion Python bindings for Python 2 require 1.3.24 <= SWIG < 4.0.0" >&5
-$as_echo "$as_me: WARNING: Subversion Python bindings for Python 2 require 1.3.24 <= SWIG < 4.0.0" >&2;}
- fi
- fi
- fi
- fi
- fi
- fi
-
- fi
-
- SWIG_PL_ERRMSG="check config.log for details"
- if test "$PERL" != "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking perl version" >&5
-$as_echo_n "checking perl version... " >&6; }
- PERL_VERSION="`$PERL -e 'q([); print $] * 1000000,$/;'`"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $PERL_VERSION" >&5
-$as_echo "$PERL_VERSION" >&6; }
- if test "$PERL_VERSION" -ge "5008000"; then
- SWIG_PL_INCLUDES="\$(SWIG_INCLUDES) `$PERL -MExtUtils::Embed -e ccopts`"
- SWIG_PL_LINK="`$PERL -MExtUtils::Embed -e ldopts`"
- SWIG_PL_LINK="`
- input_flags="$SWIG_PL_LINK"
- output_flags=""
- filtered_dirs="/lib /lib64 /usr/lib /usr/lib64"
- for flag in $input_flags; do
- filter="no"
- for dir in $filtered_dirs; do
- if test "$flag" = "-L$dir" || test "$flag" = "-L$dir/"; then
- filter="yes"
- break
- fi
- done
- if test "$filter" = "no"; then
- output_flags="$output_flags $flag"
- fi
- done
- if test -n "$output_flags"; then
- printf "%s" "${output_flags# }"
- fi
-`"
-
- SWIG_PL_ERRMSG=""
- else
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: perl bindings require perl 5.8.0 or newer." >&5
-$as_echo "$as_me: WARNING: perl bindings require perl 5.8.0 or newer." >&2;}
- fi
- fi
-
- SWIG_RB_COMPILE="none"
- SWIG_RB_LINK="none"
- SWIG_RB_ERRMSG="check config.log for details"
- if test "$RUBY" != "none"; then
- if test x"$SWIG_VERSION" = x"3""00""008"; then
- # Use a local variable to escape the '#' sign.
- ruby_swig_issue_602='https://subversion.apache.org/docs/release-notes/1.11#ruby-swig-issue-602'
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Ruby bindings are known not to support swig 3.0.8; see $ruby_swig_issue_602" >&5
-$as_echo "$as_me: WARNING: Ruby bindings are known not to support swig 3.0.8; see $ruby_swig_issue_602" >&2;}
- fi
- rbconfig="$RUBY -rrbconfig -e "
-
- for var_name in arch archdir CC LDSHARED DLEXT LIBS LIBRUBYARG \
- rubyhdrdir rubyarchhdrdir sitedir sitelibdir sitearchdir libdir
- do
- rbconfig_tmp=`$rbconfig "print RbConfig::CONFIG['$var_name']"`
- eval "rbconfig_$var_name=\"$rbconfig_tmp\""
- done
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: Configuring Ruby SWIG binding" >&5
-$as_echo "$as_me: Configuring Ruby SWIG binding" >&6;}
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Ruby include path" >&5
-$as_echo_n "checking for Ruby include path... " >&6; }
-if ${svn_cv_ruby_includes+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- if test -d "$rbconfig_rubyhdrdir"; then
- svn_cv_ruby_includes="-I. -I$rbconfig_rubyhdrdir"
- if test -d "$rbconfig_rubyarchhdrdir"; then
- svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyarchhdrdir"
- else
- svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyhdrdir/$rbconfig_arch"
- fi
- else
- svn_cv_ruby_includes="-I. -I$rbconfig_archdir"
- fi
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $svn_cv_ruby_includes" >&5
-$as_echo "$svn_cv_ruby_includes" >&6; }
- SWIG_RB_INCLUDES="\$(SWIG_INCLUDES) $svn_cv_ruby_includes"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to compile Ruby extensions" >&5
-$as_echo_n "checking how to compile Ruby extensions... " >&6; }
-if ${svn_cv_ruby_compile+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_compile="$rbconfig_CC $CFLAGS"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $svn_cv_ruby_compile" >&5
-$as_echo "$svn_cv_ruby_compile" >&6; }
- SWIG_RB_COMPILE="$svn_cv_ruby_compile"
-
- SWIG_RB_COMPILE=`echo "$SWIG_RB_COMPILE" | $SED -e 's/-ansi//'`
-
-
- SWIG_RB_COMPILE=`echo "$SWIG_RB_COMPILE" | $SED -e 's/-std=c89//'`
-
-
- SWIG_RB_COMPILE=`echo "$SWIG_RB_COMPILE" | $SED -e 's/-std=c90//'`
-
- SWIG_RB_COMPILE="$SWIG_RB_COMPILE -Wno-int-to-pointer-cast"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to link Ruby extensions" >&5
-$as_echo_n "checking how to link Ruby extensions... " >&6; }
-if ${svn_cv_ruby_link+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_link="`$RUBY -e 'ARGV.shift; print ARGV.join(%q( ))' \
- $rbconfig_LDSHARED`"
- svn_cv_ruby_link="$rbconfig_CC $svn_cv_ruby_link"
- svn_cv_ruby_link="$svn_cv_ruby_link -shrext .$rbconfig_DLEXT"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $svn_cv_ruby_link" >&5
-$as_echo "$svn_cv_ruby_link" >&6; }
- SWIG_RB_LINK="$svn_cv_ruby_link"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to link Ruby libraries" >&5
-$as_echo_n "checking how to link Ruby libraries... " >&6; }
-if ${ac_cv_ruby_libs+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_ruby_libs="$rbconfig_LIBRUBYARG $rbconfig_LIBS"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_ruby_libs" >&5
-$as_echo "$ac_cv_ruby_libs" >&6; }
- SWIG_RB_LIBS="`
- input_flags="$ac_cv_ruby_libs"
- output_flags=""
- filtered_dirs="/lib /lib64 /usr/lib /usr/lib64"
- for flag in $input_flags; do
- filter="no"
- for dir in $filtered_dirs; do
- if test "$flag" = "-L$dir" || test "$flag" = "-L$dir/"; then
- filter="yes"
- break
- fi
- done
- if test "$filter" = "no"; then
- output_flags="$output_flags $flag"
- fi
- done
- if test -n "$output_flags"; then
- printf "%s" "${output_flags# }"
- fi
-`"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for rb_errinfo" >&5
-$as_echo_n "checking for rb_errinfo... " >&6; }
- old_CFLAGS="$CFLAGS"
- old_LIBS="$LIBS"
- CFLAGS="$CFLAGS $svn_cv_ruby_includes"
-
- CFLAGS=`echo "$CFLAGS" | $SED -e 's/-ansi//'`
-
-
- CFLAGS=`echo "$CFLAGS" | $SED -e 's/-std=c89//'`
-
-
- CFLAGS=`echo "$CFLAGS" | $SED -e 's/-std=c90//'`
-
- LIBS="$SWIG_RB_LIBS"
- cat confdefs.h - <<_ACEOF >conftest.$ac_ext
-/* end confdefs.h. */
-
-#include <ruby.h>
-int main()
-{rb_errinfo();}
-_ACEOF
-if ac_fn_c_try_link "$LINENO"; then :
- have_rb_errinfo="yes"
-else
- have_rb_errinfo="no"
-fi
-rm -f core conftest.err conftest.$ac_objext \
- conftest$ac_exeext conftest.$ac_ext
- if test "$have_rb_errinfo" = "yes"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
-$as_echo "yes" >&6; }
-
-$as_echo "#define HAVE_RB_ERRINFO 1" >>confdefs.h
-
- else
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
- fi
- CFLAGS="$old_CFLAGS"
- LIBS="$old_LIBS"
-
- if ${svn_cv_ruby_sitedir+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_sitedir="$rbconfig_sitedir"
-
-fi
-
-
-# Check whether --with-ruby-sitedir was given.
-if test "${with_ruby_sitedir+set}" = set; then :
- withval=$with_ruby_sitedir; svn_ruby_installdir="$withval"
-else
- svn_ruby_installdir="$svn_cv_ruby_sitedir"
-fi
-
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking where to install Ruby scripts" >&5
-$as_echo_n "checking where to install Ruby scripts... " >&6; }
- if ${svn_cv_ruby_sitedir_libsuffix+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_sitedir_libsuffix="`echo "$rbconfig_sitelibdir" | \
- $SED -e "s,^$rbconfig_sitedir,,"`"
-
-fi
-
- SWIG_RB_SITE_LIB_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_libsuffix}"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_RB_SITE_LIB_DIR" >&5
-$as_echo "$SWIG_RB_SITE_LIB_DIR" >&6; }
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking where to install Ruby extensions" >&5
-$as_echo_n "checking where to install Ruby extensions... " >&6; }
- if ${svn_cv_ruby_sitedir_archsuffix+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_sitedir_archsuffix="`echo "$rbconfig_sitearchdir" | \
- $SED -e "s,^$rbconfig_sitedir,,"`"
-
-fi
-
- SWIG_RB_SITE_ARCH_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_archsuffix}"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_RB_SITE_ARCH_DIR" >&5
-$as_echo "$SWIG_RB_SITE_ARCH_DIR" >&6; }
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to use output level for Ruby bindings tests" >&5
-$as_echo_n "checking how to use output level for Ruby bindings tests... " >&6; }
- if ${svn_cv_ruby_test_verbose+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_test_verbose="normal"
-
-fi
-
-
-# Check whether --with-ruby-test-verbose was given.
-if test "${with_ruby_test_verbose+set}" = set; then :
- withval=$with_ruby_test_verbose; svn_ruby_test_verbose="$withval"
-else
- svn_ruby_test_verbose="$svn_cv_ruby_test_verbose"
-fi
-
- SWIG_RB_TEST_VERBOSE="$svn_ruby_test_verbose"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_RB_TEST_VERBOSE" >&5
-$as_echo "$SWIG_RB_TEST_VERBOSE" >&6; }
-
- SWIG_RB_ERRMSG=""
- fi
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
- ;;
- "yes")
-
- where=required
-
- if test $where = no; then
- SWIG=none
- elif test $where = required || test $where = check; then
- # Extract the first word of "swig", so it can be a program name with args.
-set dummy swig; ac_word=$2
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
-$as_echo_n "checking for $ac_word... " >&6; }
-if ${ac_cv_path_SWIG+:} false; then :
- $as_echo_n "(cached) " >&6
-else
- case $SWIG in
- [\\/]* | ?:[\\/]*)
- ac_cv_path_SWIG="$SWIG" # Let the user override the test with a path.
- ;;
- *)
- as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
- IFS=$as_save_IFS
- test -z "$as_dir" && as_dir=.
- for ac_exec_ext in '' $ac_executable_extensions; do
- if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
- ac_cv_path_SWIG="$as_dir/$ac_word$ac_exec_ext"
- $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
- break 2
- fi
-done
- done
-IFS=$as_save_IFS
-
- test -z "$ac_cv_path_SWIG" && ac_cv_path_SWIG="none"
- ;;
-esac
-fi
-SWIG=$ac_cv_path_SWIG
-if test -n "$SWIG"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG" >&5
-$as_echo "$SWIG" >&6; }
-else
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
-fi
-
-
- if test "$SWIG" = "none" && test $where = required; then
- as_fn_error $? "SWIG required, but not found" "$LINENO" 5
- fi
- else
- if test -f "$where"; then
- SWIG="$where"
- else
- SWIG="$where/bin/swig"
- fi
- if test ! -f "$SWIG" || test ! -x "$SWIG"; then
- as_fn_error $? "Could not find swig binary at $SWIG" "$LINENO" 5
- fi
- fi
-
- if test "$SWIG" != "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking swig version" >&5
-$as_echo_n "checking swig version... " >&6; }
- SWIG_VERSION_RAW="`$SWIG -version 2>&1 | \
- $SED -ne 's/^.*Version \(.*\)$/\1/p'`"
- # We want the version as an integer so we can test against
- # which version we're using. SWIG doesn't provide this
- # to us so we have to come up with it on our own.
- # The major is passed straight through,
- # the minor is zero padded to two places,
- # and the patch level is zero padded to three places.
- # e.g. 1.3.24 becomes 103024
- SWIG_VERSION="`echo \"$SWIG_VERSION_RAW\" | \
- $SED -e 's/[^0-9\.].*$//' \
- -e 's/\.\([0-9]\)$/.0\1/' \
- -e 's/\.\([0-9][0-9]\)$/.0\1/' \
- -e 's/\.\([0-9]\)\./0\1/; s/\.//g;'`"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_VERSION_RAW" >&5
-$as_echo "$SWIG_VERSION_RAW" >&6; }
- # If you change the required swig version number, don't forget to update:
- # subversion/bindings/swig/INSTALL
- if test -n "$SWIG_VERSION" && test "$SWIG_VERSION" -ge "103024"; then
- SWIG_SUITABLE=yes
- else
- SWIG_SUITABLE=no
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Detected SWIG version $SWIG_VERSION_RAW" >&5
-$as_echo "$as_me: WARNING: Detected SWIG version $SWIG_VERSION_RAW" >&2;}
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Subversion requires SWIG >= 1.3.24" >&5
-$as_echo "$as_me: WARNING: Subversion requires SWIG >= 1.3.24" >&2;}
- fi
- fi
-
- SWIG_PY_COMPILE="none"
- SWIG_PY_LINK="none"
- SWIG_PY_OPTS="none"
- SWIG_PY_ERRMSG="check config.log for details"
- if test "$PYTHON" != "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: Configuring python swig binding" >&5
-$as_echo "$as_me: Configuring python swig binding" >&6;}
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Python includes" >&5
-$as_echo_n "checking for Python includes... " >&6; }
-if ${ac_cv_python_includes+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_includes="`$PYTHON ${abs_srcdir}/build/get-py-info.py --includes`"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_includes" >&5
-$as_echo "$ac_cv_python_includes" >&6; }
- SWIG_PY_INCLUDES="\$(SWIG_INCLUDES) $ac_cv_python_includes"
-
- if test "$ac_cv_python_includes" = "none"; then
- SWIG_PY_ERRMSG="no distutils found"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: python bindings cannot be built without distutils module" >&5
-$as_echo "$as_me: WARNING: python bindings cannot be built without distutils module" >&2;}
- else
-
- python_header_found="no"
-
- save_cppflags="$CPPFLAGS"
- CPPFLAGS="$CPPFLAGS $ac_cv_python_includes"
- ac_fn_c_check_header_mongrel "$LINENO" "Python.h" "ac_cv_header_Python_h" "$ac_includes_default"
+ ac_fn_c_check_header_mongrel "$LINENO" "Python.h" "ac_cv_header_Python_h" "$ac_includes_default"
if test "x$ac_cv_header_Python_h" = xyes; then :
- python_header_found="yes"
+ python_header_found="yes"
fi
- CPPFLAGS="$save_cppflags"
+ CPPFLAGS="$save_cppflags"
- if test "$python_header_found" = "no"; then
- SWIG_PY_ERRMSG="no Python.h found"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Python.h not found; disabling python swig bindings" >&5
+ if test "$python_header_found" = "no"; then
+ SWIG_PY_ERRMSG="no Python.h found"
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Python.h not found; disabling python swig bindings" >&5
$as_echo "$as_me: WARNING: Python.h not found; disabling python swig bindings" >&2;}
- else
+ else
py3c_found=no
py3c_skip=no
@@ -25037,49 +24355,49 @@ fi
- if test "$py3c_found" = "no"; then
- SWIG_PY_ERRMSG="py3c library not found"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: py3c library not found; disabling python swig bindings" >&5
+ if test "$py3c_found" = "no"; then
+ SWIG_PY_ERRMSG="py3c library not found"
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: py3c library not found; disabling python swig bindings" >&5
$as_echo "$as_me: WARNING: py3c library not found; disabling python swig bindings" >&2;}
- else
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for compiling Python extensions" >&5
+ else
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking for compiling Python extensions" >&5
$as_echo_n "checking for compiling Python extensions... " >&6; }
if ${ac_cv_python_compile+:} false; then :
$as_echo_n "(cached) " >&6
else
- ac_cv_python_compile="`$PYTHON ${abs_srcdir}/build/get-py-info.py --compile`"
+ ac_cv_python_compile="`$SWIG_PY_PYTHON ${abs_srcdir}/build/get-py-info.py --compile`"
fi
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_compile" >&5
$as_echo "$ac_cv_python_compile" >&6; }
- SWIG_PY_COMPILE="$ac_cv_python_compile $CFLAGS"
+ SWIG_PY_COMPILE="$ac_cv_python_compile $CFLAGS"
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for linking Python extensions" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking for linking Python extensions" >&5
$as_echo_n "checking for linking Python extensions... " >&6; }
if ${ac_cv_python_link+:} false; then :
$as_echo_n "(cached) " >&6
else
- ac_cv_python_link="`$PYTHON ${abs_srcdir}/build/get-py-info.py --link`"
+ ac_cv_python_link="`$SWIG_PY_PYTHON ${abs_srcdir}/build/get-py-info.py --link`"
fi
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_link" >&5
$as_echo "$ac_cv_python_link" >&6; }
- SWIG_PY_LINK="$ac_cv_python_link"
+ SWIG_PY_LINK="$ac_cv_python_link"
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for linking Python libraries" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking for linking Python libraries" >&5
$as_echo_n "checking for linking Python libraries... " >&6; }
if ${ac_cv_python_libs+:} false; then :
$as_echo_n "(cached) " >&6
else
- ac_cv_python_libs="`$PYTHON ${abs_srcdir}/build/get-py-info.py --libs`"
+ ac_cv_python_libs="`$SWIG_PY_PYTHON ${abs_srcdir}/build/get-py-info.py --libs`"
fi
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_libs" >&5
$as_echo "$ac_cv_python_libs" >&6; }
- SWIG_PY_LIBS="`
+ SWIG_PY_LIBS="`
input_flags="$ac_cv_python_libs"
output_flags=""
filtered_dirs="/lib /lib64 /usr/lib /usr/lib64"
@@ -25100,68 +24418,26 @@ $as_echo "$ac_cv_python_libs" >&6; }
fi
`"
- if test "$SWIG" = "none"; then
SWIG_PY_ERRMSG=""
- else
- # Look more closely at the SWIG and Python versions to
- # determine SWIG_PY_OPTS. We can skip this if we already
- # have the SWIG-generated files.
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Python >= 3" >&5
-$as_echo_n "checking for Python >= 3... " >&6; }
-if ${ac_cv_python_is_py3+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_is_py3="no"
- $PYTHON -c 'import sys; sys.exit(0x3000000 > sys.hexversion)' && \
- ac_cv_python_is_py3="yes"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_is_py3" >&5
-$as_echo "$ac_cv_python_is_py3" >&6; }
-
- if test "$ac_cv_python_is_py3" = "yes"; then
- if test "$SWIG_VERSION" -ge "300010"; then
- SWIG_PY_ERRMSG=""
- else
- SWIG_PY_ERRMSG="SWIG version is not suitable"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Subversion Python bindings for Python 3 require SWIG 3.0.10 or newer" >&5
-$as_echo "$as_me: WARNING: Subversion Python bindings for Python 3 require SWIG 3.0.10 or newer" >&2;}
- fi
- if test "$SWIG_VERSION" -lt "400000"; then
- SWIG_PY_OPTS="-python -py3 -nofastunpack -modern"
- else
- SWIG_PY_OPTS="-python -py3 -nofastunpack"
- fi
- else
- if test "$SWIG_VERSION" -lt "400000"; then
- SWIG_PY_OPTS="-python -classic"
- SWIG_PY_ERRMSG=""
- else
- SWIG_PY_OPTS="-python -nofastunpack"
- SWIG_PY_ERRMSG="SWIG version is not suitable"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Subversion Python bindings for Python 2 require 1.3.24 <= SWIG < 4.0.0" >&5
-$as_echo "$as_me: WARNING: Subversion Python bindings for Python 2 require 1.3.24 <= SWIG < 4.0.0" >&2;}
- fi
- fi
fi
fi
fi
fi
- fi
-
- SWIG_PL_ERRMSG="check config.log for details"
- if test "$PERL" != "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking perl version" >&5
+ SWIG_PL_ERRMSG="check config.log for details"
+ if test "$SWIG_PL_PERL" = "none"; then
+ SWIG_PL_ERRMSG="You specfied not to build Perl bindings or \
+suitable Perl interpreter is not found."
+ else
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking perl version" >&5
$as_echo_n "checking perl version... " >&6; }
- PERL_VERSION="`$PERL -e 'q([); print $] * 1000000,$/;'`"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $PERL_VERSION" >&5
+ PERL_VERSION="`$SWIG_PL_PERL -e 'q([); print $] * 1000000,$/;'`"
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: $PERL_VERSION" >&5
$as_echo "$PERL_VERSION" >&6; }
- if test "$PERL_VERSION" -ge "5008000"; then
- SWIG_PL_INCLUDES="\$(SWIG_INCLUDES) `$PERL -MExtUtils::Embed -e ccopts`"
- SWIG_PL_LINK="`$PERL -MExtUtils::Embed -e ldopts`"
- SWIG_PL_LINK="`
+ if test "$PERL_VERSION" -ge "5008000"; then
+ SWIG_PL_INCLUDES="\$(SWIG_INCLUDES) `$SWIG_PL_PERL -MExtUtils::Embed -e ccopts`"
+ SWIG_PL_LINK="`$SWIG_PL_PERL -MExtUtils::Embed -e ldopts`"
+ SWIG_PL_LINK="`
input_flags="$SWIG_PL_LINK"
output_flags=""
filtered_dirs="/lib /lib64 /usr/lib /usr/lib64"
@@ -25182,1346 +24458,66 @@ $as_echo "$PERL_VERSION" >&6; }
fi
`"
- SWIG_PL_ERRMSG=""
- else
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: perl bindings require perl 5.8.0 or newer." >&5
-$as_echo "$as_me: WARNING: perl bindings require perl 5.8.0 or newer." >&2;}
- fi
- fi
-
- SWIG_RB_COMPILE="none"
- SWIG_RB_LINK="none"
- SWIG_RB_ERRMSG="check config.log for details"
- if test "$RUBY" != "none"; then
- if test x"$SWIG_VERSION" = x"3""00""008"; then
- # Use a local variable to escape the '#' sign.
- ruby_swig_issue_602='https://subversion.apache.org/docs/release-notes/1.11#ruby-swig-issue-602'
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Ruby bindings are known not to support swig 3.0.8; see $ruby_swig_issue_602" >&5
-$as_echo "$as_me: WARNING: Ruby bindings are known not to support swig 3.0.8; see $ruby_swig_issue_602" >&2;}
- fi
- rbconfig="$RUBY -rrbconfig -e "
-
- for var_name in arch archdir CC LDSHARED DLEXT LIBS LIBRUBYARG \
- rubyhdrdir rubyarchhdrdir sitedir sitelibdir sitearchdir libdir
- do
- rbconfig_tmp=`$rbconfig "print RbConfig::CONFIG['$var_name']"`
- eval "rbconfig_$var_name=\"$rbconfig_tmp\""
- done
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: Configuring Ruby SWIG binding" >&5
-$as_echo "$as_me: Configuring Ruby SWIG binding" >&6;}
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Ruby include path" >&5
-$as_echo_n "checking for Ruby include path... " >&6; }
-if ${svn_cv_ruby_includes+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- if test -d "$rbconfig_rubyhdrdir"; then
- svn_cv_ruby_includes="-I. -I$rbconfig_rubyhdrdir"
- if test -d "$rbconfig_rubyarchhdrdir"; then
- svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyarchhdrdir"
+ SWIG_PL_ERRMSG=""
else
- svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyhdrdir/$rbconfig_arch"
- fi
- else
- svn_cv_ruby_includes="-I. -I$rbconfig_archdir"
- fi
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $svn_cv_ruby_includes" >&5
-$as_echo "$svn_cv_ruby_includes" >&6; }
- SWIG_RB_INCLUDES="\$(SWIG_INCLUDES) $svn_cv_ruby_includes"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to compile Ruby extensions" >&5
-$as_echo_n "checking how to compile Ruby extensions... " >&6; }
-if ${svn_cv_ruby_compile+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_compile="$rbconfig_CC $CFLAGS"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $svn_cv_ruby_compile" >&5
-$as_echo "$svn_cv_ruby_compile" >&6; }
- SWIG_RB_COMPILE="$svn_cv_ruby_compile"
-
- SWIG_RB_COMPILE=`echo "$SWIG_RB_COMPILE" | $SED -e 's/-ansi//'`
-
-
- SWIG_RB_COMPILE=`echo "$SWIG_RB_COMPILE" | $SED -e 's/-std=c89//'`
-
-
- SWIG_RB_COMPILE=`echo "$SWIG_RB_COMPILE" | $SED -e 's/-std=c90//'`
-
- SWIG_RB_COMPILE="$SWIG_RB_COMPILE -Wno-int-to-pointer-cast"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to link Ruby extensions" >&5
-$as_echo_n "checking how to link Ruby extensions... " >&6; }
-if ${svn_cv_ruby_link+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_link="`$RUBY -e 'ARGV.shift; print ARGV.join(%q( ))' \
- $rbconfig_LDSHARED`"
- svn_cv_ruby_link="$rbconfig_CC $svn_cv_ruby_link"
- svn_cv_ruby_link="$svn_cv_ruby_link -shrext .$rbconfig_DLEXT"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $svn_cv_ruby_link" >&5
-$as_echo "$svn_cv_ruby_link" >&6; }
- SWIG_RB_LINK="$svn_cv_ruby_link"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to link Ruby libraries" >&5
-$as_echo_n "checking how to link Ruby libraries... " >&6; }
-if ${ac_cv_ruby_libs+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_ruby_libs="$rbconfig_LIBRUBYARG $rbconfig_LIBS"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_ruby_libs" >&5
-$as_echo "$ac_cv_ruby_libs" >&6; }
- SWIG_RB_LIBS="`
- input_flags="$ac_cv_ruby_libs"
- output_flags=""
- filtered_dirs="/lib /lib64 /usr/lib /usr/lib64"
- for flag in $input_flags; do
- filter="no"
- for dir in $filtered_dirs; do
- if test "$flag" = "-L$dir" || test "$flag" = "-L$dir/"; then
- filter="yes"
- break
- fi
- done
- if test "$filter" = "no"; then
- output_flags="$output_flags $flag"
- fi
- done
- if test -n "$output_flags"; then
- printf "%s" "${output_flags# }"
- fi
-`"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for rb_errinfo" >&5
-$as_echo_n "checking for rb_errinfo... " >&6; }
- old_CFLAGS="$CFLAGS"
- old_LIBS="$LIBS"
- CFLAGS="$CFLAGS $svn_cv_ruby_includes"
-
- CFLAGS=`echo "$CFLAGS" | $SED -e 's/-ansi//'`
-
-
- CFLAGS=`echo "$CFLAGS" | $SED -e 's/-std=c89//'`
-
-
- CFLAGS=`echo "$CFLAGS" | $SED -e 's/-std=c90//'`
-
- LIBS="$SWIG_RB_LIBS"
- cat confdefs.h - <<_ACEOF >conftest.$ac_ext
-/* end confdefs.h. */
-
-#include <ruby.h>
-int main()
-{rb_errinfo();}
-_ACEOF
-if ac_fn_c_try_link "$LINENO"; then :
- have_rb_errinfo="yes"
-else
- have_rb_errinfo="no"
-fi
-rm -f core conftest.err conftest.$ac_objext \
- conftest$ac_exeext conftest.$ac_ext
- if test "$have_rb_errinfo" = "yes"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
-$as_echo "yes" >&6; }
-
-$as_echo "#define HAVE_RB_ERRINFO 1" >>confdefs.h
-
- else
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
- fi
- CFLAGS="$old_CFLAGS"
- LIBS="$old_LIBS"
-
- if ${svn_cv_ruby_sitedir+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_sitedir="$rbconfig_sitedir"
-
-fi
-
-
-# Check whether --with-ruby-sitedir was given.
-if test "${with_ruby_sitedir+set}" = set; then :
- withval=$with_ruby_sitedir; svn_ruby_installdir="$withval"
-else
- svn_ruby_installdir="$svn_cv_ruby_sitedir"
-fi
-
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking where to install Ruby scripts" >&5
-$as_echo_n "checking where to install Ruby scripts... " >&6; }
- if ${svn_cv_ruby_sitedir_libsuffix+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_sitedir_libsuffix="`echo "$rbconfig_sitelibdir" | \
- $SED -e "s,^$rbconfig_sitedir,,"`"
-
-fi
-
- SWIG_RB_SITE_LIB_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_libsuffix}"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_RB_SITE_LIB_DIR" >&5
-$as_echo "$SWIG_RB_SITE_LIB_DIR" >&6; }
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking where to install Ruby extensions" >&5
-$as_echo_n "checking where to install Ruby extensions... " >&6; }
- if ${svn_cv_ruby_sitedir_archsuffix+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_sitedir_archsuffix="`echo "$rbconfig_sitearchdir" | \
- $SED -e "s,^$rbconfig_sitedir,,"`"
-
-fi
-
- SWIG_RB_SITE_ARCH_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_archsuffix}"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_RB_SITE_ARCH_DIR" >&5
-$as_echo "$SWIG_RB_SITE_ARCH_DIR" >&6; }
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to use output level for Ruby bindings tests" >&5
-$as_echo_n "checking how to use output level for Ruby bindings tests... " >&6; }
- if ${svn_cv_ruby_test_verbose+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_test_verbose="normal"
-
-fi
-
-
-# Check whether --with-ruby-test-verbose was given.
-if test "${with_ruby_test_verbose+set}" = set; then :
- withval=$with_ruby_test_verbose; svn_ruby_test_verbose="$withval"
-else
- svn_ruby_test_verbose="$svn_cv_ruby_test_verbose"
-fi
-
- SWIG_RB_TEST_VERBOSE="$svn_ruby_test_verbose"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_RB_TEST_VERBOSE" >&5
-$as_echo "$SWIG_RB_TEST_VERBOSE" >&6; }
-
- SWIG_RB_ERRMSG=""
- fi
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
- ;;
- *)
-
- where=$withval
-
- if test $where = no; then
- SWIG=none
- elif test $where = required || test $where = check; then
- # Extract the first word of "swig", so it can be a program name with args.
-set dummy swig; ac_word=$2
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
-$as_echo_n "checking for $ac_word... " >&6; }
-if ${ac_cv_path_SWIG+:} false; then :
- $as_echo_n "(cached) " >&6
-else
- case $SWIG in
- [\\/]* | ?:[\\/]*)
- ac_cv_path_SWIG="$SWIG" # Let the user override the test with a path.
- ;;
- *)
- as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
- IFS=$as_save_IFS
- test -z "$as_dir" && as_dir=.
- for ac_exec_ext in '' $ac_executable_extensions; do
- if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
- ac_cv_path_SWIG="$as_dir/$ac_word$ac_exec_ext"
- $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
- break 2
- fi
-done
- done
-IFS=$as_save_IFS
-
- test -z "$ac_cv_path_SWIG" && ac_cv_path_SWIG="none"
- ;;
-esac
-fi
-SWIG=$ac_cv_path_SWIG
-if test -n "$SWIG"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG" >&5
-$as_echo "$SWIG" >&6; }
-else
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
-fi
-
-
- if test "$SWIG" = "none" && test $where = required; then
- as_fn_error $? "SWIG required, but not found" "$LINENO" 5
- fi
- else
- if test -f "$where"; then
- SWIG="$where"
- else
- SWIG="$where/bin/swig"
- fi
- if test ! -f "$SWIG" || test ! -x "$SWIG"; then
- as_fn_error $? "Could not find swig binary at $SWIG" "$LINENO" 5
- fi
- fi
-
- if test "$SWIG" != "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking swig version" >&5
-$as_echo_n "checking swig version... " >&6; }
- SWIG_VERSION_RAW="`$SWIG -version 2>&1 | \
- $SED -ne 's/^.*Version \(.*\)$/\1/p'`"
- # We want the version as an integer so we can test against
- # which version we're using. SWIG doesn't provide this
- # to us so we have to come up with it on our own.
- # The major is passed straight through,
- # the minor is zero padded to two places,
- # and the patch level is zero padded to three places.
- # e.g. 1.3.24 becomes 103024
- SWIG_VERSION="`echo \"$SWIG_VERSION_RAW\" | \
- $SED -e 's/[^0-9\.].*$//' \
- -e 's/\.\([0-9]\)$/.0\1/' \
- -e 's/\.\([0-9][0-9]\)$/.0\1/' \
- -e 's/\.\([0-9]\)\./0\1/; s/\.//g;'`"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_VERSION_RAW" >&5
-$as_echo "$SWIG_VERSION_RAW" >&6; }
- # If you change the required swig version number, don't forget to update:
- # subversion/bindings/swig/INSTALL
- if test -n "$SWIG_VERSION" && test "$SWIG_VERSION" -ge "103024"; then
- SWIG_SUITABLE=yes
- else
- SWIG_SUITABLE=no
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Detected SWIG version $SWIG_VERSION_RAW" >&5
-$as_echo "$as_me: WARNING: Detected SWIG version $SWIG_VERSION_RAW" >&2;}
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Subversion requires SWIG >= 1.3.24" >&5
-$as_echo "$as_me: WARNING: Subversion requires SWIG >= 1.3.24" >&2;}
- fi
- fi
-
- SWIG_PY_COMPILE="none"
- SWIG_PY_LINK="none"
- SWIG_PY_OPTS="none"
- SWIG_PY_ERRMSG="check config.log for details"
- if test "$PYTHON" != "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: Configuring python swig binding" >&5
-$as_echo "$as_me: Configuring python swig binding" >&6;}
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Python includes" >&5
-$as_echo_n "checking for Python includes... " >&6; }
-if ${ac_cv_python_includes+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_includes="`$PYTHON ${abs_srcdir}/build/get-py-info.py --includes`"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_includes" >&5
-$as_echo "$ac_cv_python_includes" >&6; }
- SWIG_PY_INCLUDES="\$(SWIG_INCLUDES) $ac_cv_python_includes"
-
- if test "$ac_cv_python_includes" = "none"; then
- SWIG_PY_ERRMSG="no distutils found"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: python bindings cannot be built without distutils module" >&5
-$as_echo "$as_me: WARNING: python bindings cannot be built without distutils module" >&2;}
- else
-
- python_header_found="no"
-
- save_cppflags="$CPPFLAGS"
- CPPFLAGS="$CPPFLAGS $ac_cv_python_includes"
- ac_fn_c_check_header_mongrel "$LINENO" "Python.h" "ac_cv_header_Python_h" "$ac_includes_default"
-if test "x$ac_cv_header_Python_h" = xyes; then :
-
- python_header_found="yes"
-
-fi
-
-
- CPPFLAGS="$save_cppflags"
-
- if test "$python_header_found" = "no"; then
- SWIG_PY_ERRMSG="no Python.h found"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Python.h not found; disabling python swig bindings" >&5
-$as_echo "$as_me: WARNING: Python.h not found; disabling python swig bindings" >&2;}
- else
-
- py3c_found=no
- py3c_skip=no
-
-
-# Check whether --with-py3c was given.
-if test "${with_py3c+set}" = set; then :
- withval=$with_py3c;
- if test "$withval" = "yes"; then
- py3c_skip=no
- elif test "$withval" = "no"; then
- py3c_skip=yes
- else
- py3c_skip=no
- py3c_prefix="$withval"
- fi
-
-fi
-
-
- if test "$py3c_skip" = "yes"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: Skipping configure of py3c" >&5
-$as_echo "$as_me: Skipping configure of py3c" >&6;}
- else
- if test -n "$py3c_prefix"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: py3c library configuration via prefix $py3c_prefix" >&5
-$as_echo "$as_me: py3c library configuration via prefix $py3c_prefix" >&6;}
-
- if test "$ac_cv_python_includes" = "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: py3c cannot be used without distutils module" >&5
-$as_echo "$as_me: WARNING: py3c cannot be used without distutils module" >&2;}
- fi
-
- save_cppflags="$CPPFLAGS"
- CPPFLAGS="$CPPFLAGS $ac_cv_python_includes -I$py3c_prefix/include"
- for ac_header in py3c.h
-do :
- ac_fn_c_check_header_mongrel "$LINENO" "py3c.h" "ac_cv_header_py3c_h" "$ac_includes_default"
-if test "x$ac_cv_header_py3c_h" = xyes; then :
- cat >>confdefs.h <<_ACEOF
-#define HAVE_PY3C_H 1
-_ACEOF
-
- py3c_found="yes"
- SVN_PY3C_INCLUDES="-I$py3c_prefix/include"
-
-fi
-
-done
-
- CPPFLAGS="$save_cppflags"
- else
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: py3c library configuration via pkg-config" >&5
-$as_echo "$as_me: py3c library configuration via pkg-config" >&6;}
- if test -n "$PKG_CONFIG"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for py3c library" >&5
-$as_echo_n "checking for py3c library... " >&6; }
- if $PKG_CONFIG py3c --exists; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
-$as_echo "yes" >&6; }
- py3c_found=yes
- SVN_PY3C_INCLUDES=`$PKG_CONFIG py3c --cflags`
- else
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
- fi
- fi
-
-
- if test "$py3c_found" = "no"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: py3c library configuration without pkg-config" >&5
-$as_echo "$as_me: py3c library configuration without pkg-config" >&6;}
-
- if test "$ac_cv_python_includes" = "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: py3c cannot be used without distutils module" >&5
-$as_echo "$as_me: WARNING: py3c cannot be used without distutils module" >&2;}
- fi
-
- save_cppflags="$CPPFLAGS"
- CPPFLAGS="$CPPFLAGS $ac_cv_python_includes"
- ac_fn_c_check_header_mongrel "$LINENO" "py3c.h" "ac_cv_header_py3c_h" "$ac_includes_default"
-if test "x$ac_cv_header_py3c_h" = xyes; then :
-
- py3c_found="yes"
-
-fi
-
-
- CPPFLAGS="$save_cppflags"
- fi
- fi
- fi
-
-
-
-
- if test "$py3c_found" = "no"; then
- SWIG_PY_ERRMSG="py3c library not found"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: py3c library not found; disabling python swig bindings" >&5
-$as_echo "$as_me: WARNING: py3c library not found; disabling python swig bindings" >&2;}
- else
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for compiling Python extensions" >&5
-$as_echo_n "checking for compiling Python extensions... " >&6; }
-if ${ac_cv_python_compile+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_compile="`$PYTHON ${abs_srcdir}/build/get-py-info.py --compile`"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_compile" >&5
-$as_echo "$ac_cv_python_compile" >&6; }
- SWIG_PY_COMPILE="$ac_cv_python_compile $CFLAGS"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for linking Python extensions" >&5
-$as_echo_n "checking for linking Python extensions... " >&6; }
-if ${ac_cv_python_link+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_link="`$PYTHON ${abs_srcdir}/build/get-py-info.py --link`"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_link" >&5
-$as_echo "$ac_cv_python_link" >&6; }
- SWIG_PY_LINK="$ac_cv_python_link"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for linking Python libraries" >&5
-$as_echo_n "checking for linking Python libraries... " >&6; }
-if ${ac_cv_python_libs+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_libs="`$PYTHON ${abs_srcdir}/build/get-py-info.py --libs`"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_libs" >&5
-$as_echo "$ac_cv_python_libs" >&6; }
- SWIG_PY_LIBS="`
- input_flags="$ac_cv_python_libs"
- output_flags=""
- filtered_dirs="/lib /lib64 /usr/lib /usr/lib64"
- for flag in $input_flags; do
- filter="no"
- for dir in $filtered_dirs; do
- if test "$flag" = "-L$dir" || test "$flag" = "-L$dir/"; then
- filter="yes"
- break
- fi
- done
- if test "$filter" = "no"; then
- output_flags="$output_flags $flag"
- fi
- done
- if test -n "$output_flags"; then
- printf "%s" "${output_flags# }"
- fi
-`"
-
- if test "$SWIG" = "none"; then
- SWIG_PY_ERRMSG=""
- else
- # Look more closely at the SWIG and Python versions to
- # determine SWIG_PY_OPTS. We can skip this if we already
- # have the SWIG-generated files.
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Python >= 3" >&5
-$as_echo_n "checking for Python >= 3... " >&6; }
-if ${ac_cv_python_is_py3+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_is_py3="no"
- $PYTHON -c 'import sys; sys.exit(0x3000000 > sys.hexversion)' && \
- ac_cv_python_is_py3="yes"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_is_py3" >&5
-$as_echo "$ac_cv_python_is_py3" >&6; }
-
- if test "$ac_cv_python_is_py3" = "yes"; then
- if test "$SWIG_VERSION" -ge "300010"; then
- SWIG_PY_ERRMSG=""
- else
- SWIG_PY_ERRMSG="SWIG version is not suitable"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Subversion Python bindings for Python 3 require SWIG 3.0.10 or newer" >&5
-$as_echo "$as_me: WARNING: Subversion Python bindings for Python 3 require SWIG 3.0.10 or newer" >&2;}
- fi
- if test "$SWIG_VERSION" -lt "400000"; then
- SWIG_PY_OPTS="-python -py3 -nofastunpack -modern"
- else
- SWIG_PY_OPTS="-python -py3 -nofastunpack"
- fi
- else
- if test "$SWIG_VERSION" -lt "400000"; then
- SWIG_PY_OPTS="-python -classic"
- SWIG_PY_ERRMSG=""
- else
- SWIG_PY_OPTS="-python -nofastunpack"
- SWIG_PY_ERRMSG="SWIG version is not suitable"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Subversion Python bindings for Python 2 require 1.3.24 <= SWIG < 4.0.0" >&5
-$as_echo "$as_me: WARNING: Subversion Python bindings for Python 2 require 1.3.24 <= SWIG < 4.0.0" >&2;}
- fi
- fi
- fi
- fi
+ { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: perl bindings require perl 5.8.0 or newer." >&5
+$as_echo "$as_me: WARNING: perl bindings require perl 5.8.0 or newer." >&2;}
fi
fi
- fi
-
- SWIG_PL_ERRMSG="check config.log for details"
- if test "$PERL" != "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking perl version" >&5
-$as_echo_n "checking perl version... " >&6; }
- PERL_VERSION="`$PERL -e 'q([); print $] * 1000000,$/;'`"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $PERL_VERSION" >&5
-$as_echo "$PERL_VERSION" >&6; }
- if test "$PERL_VERSION" -ge "5008000"; then
- SWIG_PL_INCLUDES="\$(SWIG_INCLUDES) `$PERL -MExtUtils::Embed -e ccopts`"
- SWIG_PL_LINK="`$PERL -MExtUtils::Embed -e ldopts`"
- SWIG_PL_LINK="`
- input_flags="$SWIG_PL_LINK"
- output_flags=""
- filtered_dirs="/lib /lib64 /usr/lib /usr/lib64"
- for flag in $input_flags; do
- filter="no"
- for dir in $filtered_dirs; do
- if test "$flag" = "-L$dir" || test "$flag" = "-L$dir/"; then
- filter="yes"
- break
- fi
- done
- if test "$filter" = "no"; then
- output_flags="$output_flags $flag"
- fi
- done
- if test -n "$output_flags"; then
- printf "%s" "${output_flags# }"
- fi
-`"
-
- SWIG_PL_ERRMSG=""
+ SWIG_RB_COMPILE="none"
+ SWIG_RB_LINK="none"
+ SWIG_RB_ERRMSG="check config.log for details"
+ if test "$SWIG_RB_RUBY" = "none"; then
+ SWIG_RB_ERRMSG="You specfied not to build Ruby bindings or \
+suitable Ruby interpreter is not found."
else
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: perl bindings require perl 5.8.0 or newer." >&5
-$as_echo "$as_me: WARNING: perl bindings require perl 5.8.0 or newer." >&2;}
- fi
- fi
-
- SWIG_RB_COMPILE="none"
- SWIG_RB_LINK="none"
- SWIG_RB_ERRMSG="check config.log for details"
- if test "$RUBY" != "none"; then
- if test x"$SWIG_VERSION" = x"3""00""008"; then
- # Use a local variable to escape the '#' sign.
- ruby_swig_issue_602='https://subversion.apache.org/docs/release-notes/1.11#ruby-swig-issue-602'
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Ruby bindings are known not to support swig 3.0.8; see $ruby_swig_issue_602" >&5
-$as_echo "$as_me: WARNING: Ruby bindings are known not to support swig 3.0.8; see $ruby_swig_issue_602" >&2;}
- fi
- rbconfig="$RUBY -rrbconfig -e "
+ rbconfig="$SWIG_RB_RUBY -rrbconfig -e "
- for var_name in arch archdir CC LDSHARED DLEXT LIBS LIBRUBYARG \
- rubyhdrdir rubyarchhdrdir sitedir sitelibdir sitearchdir libdir
- do
- rbconfig_tmp=`$rbconfig "print RbConfig::CONFIG['$var_name']"`
- eval "rbconfig_$var_name=\"$rbconfig_tmp\""
- done
+ for var_name in arch archdir CC LDSHARED DLEXT LIBS LIBRUBYARG \
+ rubyhdrdir rubyarchhdrdir sitedir sitelibdir sitearchdir libdir
+ do
+ rbconfig_tmp=`$rbconfig "print RbConfig::CONFIG['$var_name']"`
+ eval "rbconfig_$var_name=\"$rbconfig_tmp\""
+ done
- { $as_echo "$as_me:${as_lineno-$LINENO}: Configuring Ruby SWIG binding" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: Configuring Ruby SWIG binding" >&5
$as_echo "$as_me: Configuring Ruby SWIG binding" >&6;}
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Ruby include path" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Ruby include path" >&5
$as_echo_n "checking for Ruby include path... " >&6; }
if ${svn_cv_ruby_includes+:} false; then :
$as_echo_n "(cached) " >&6
else
- if test -d "$rbconfig_rubyhdrdir"; then
- svn_cv_ruby_includes="-I. -I$rbconfig_rubyhdrdir"
- if test -d "$rbconfig_rubyarchhdrdir"; then
- svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyarchhdrdir"
- else
- svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyhdrdir/$rbconfig_arch"
- fi
- else
- svn_cv_ruby_includes="-I. -I$rbconfig_archdir"
- fi
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $svn_cv_ruby_includes" >&5
-$as_echo "$svn_cv_ruby_includes" >&6; }
- SWIG_RB_INCLUDES="\$(SWIG_INCLUDES) $svn_cv_ruby_includes"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to compile Ruby extensions" >&5
-$as_echo_n "checking how to compile Ruby extensions... " >&6; }
-if ${svn_cv_ruby_compile+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_compile="$rbconfig_CC $CFLAGS"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $svn_cv_ruby_compile" >&5
-$as_echo "$svn_cv_ruby_compile" >&6; }
- SWIG_RB_COMPILE="$svn_cv_ruby_compile"
-
- SWIG_RB_COMPILE=`echo "$SWIG_RB_COMPILE" | $SED -e 's/-ansi//'`
-
-
- SWIG_RB_COMPILE=`echo "$SWIG_RB_COMPILE" | $SED -e 's/-std=c89//'`
-
-
- SWIG_RB_COMPILE=`echo "$SWIG_RB_COMPILE" | $SED -e 's/-std=c90//'`
-
- SWIG_RB_COMPILE="$SWIG_RB_COMPILE -Wno-int-to-pointer-cast"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to link Ruby extensions" >&5
-$as_echo_n "checking how to link Ruby extensions... " >&6; }
-if ${svn_cv_ruby_link+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_link="`$RUBY -e 'ARGV.shift; print ARGV.join(%q( ))' \
- $rbconfig_LDSHARED`"
- svn_cv_ruby_link="$rbconfig_CC $svn_cv_ruby_link"
- svn_cv_ruby_link="$svn_cv_ruby_link -shrext .$rbconfig_DLEXT"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $svn_cv_ruby_link" >&5
-$as_echo "$svn_cv_ruby_link" >&6; }
- SWIG_RB_LINK="$svn_cv_ruby_link"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to link Ruby libraries" >&5
-$as_echo_n "checking how to link Ruby libraries... " >&6; }
-if ${ac_cv_ruby_libs+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_ruby_libs="$rbconfig_LIBRUBYARG $rbconfig_LIBS"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_ruby_libs" >&5
-$as_echo "$ac_cv_ruby_libs" >&6; }
- SWIG_RB_LIBS="`
- input_flags="$ac_cv_ruby_libs"
- output_flags=""
- filtered_dirs="/lib /lib64 /usr/lib /usr/lib64"
- for flag in $input_flags; do
- filter="no"
- for dir in $filtered_dirs; do
- if test "$flag" = "-L$dir" || test "$flag" = "-L$dir/"; then
- filter="yes"
- break
- fi
- done
- if test "$filter" = "no"; then
- output_flags="$output_flags $flag"
- fi
- done
- if test -n "$output_flags"; then
- printf "%s" "${output_flags# }"
- fi
-`"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for rb_errinfo" >&5
-$as_echo_n "checking for rb_errinfo... " >&6; }
- old_CFLAGS="$CFLAGS"
- old_LIBS="$LIBS"
- CFLAGS="$CFLAGS $svn_cv_ruby_includes"
-
- CFLAGS=`echo "$CFLAGS" | $SED -e 's/-ansi//'`
-
-
- CFLAGS=`echo "$CFLAGS" | $SED -e 's/-std=c89//'`
-
-
- CFLAGS=`echo "$CFLAGS" | $SED -e 's/-std=c90//'`
-
- LIBS="$SWIG_RB_LIBS"
- cat confdefs.h - <<_ACEOF >conftest.$ac_ext
-/* end confdefs.h. */
-
-#include <ruby.h>
-int main()
-{rb_errinfo();}
-_ACEOF
-if ac_fn_c_try_link "$LINENO"; then :
- have_rb_errinfo="yes"
-else
- have_rb_errinfo="no"
-fi
-rm -f core conftest.err conftest.$ac_objext \
- conftest$ac_exeext conftest.$ac_ext
- if test "$have_rb_errinfo" = "yes"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
-$as_echo "yes" >&6; }
-
-$as_echo "#define HAVE_RB_ERRINFO 1" >>confdefs.h
-
- else
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
- fi
- CFLAGS="$old_CFLAGS"
- LIBS="$old_LIBS"
-
- if ${svn_cv_ruby_sitedir+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_sitedir="$rbconfig_sitedir"
-
-fi
-
-
-# Check whether --with-ruby-sitedir was given.
-if test "${with_ruby_sitedir+set}" = set; then :
- withval=$with_ruby_sitedir; svn_ruby_installdir="$withval"
-else
- svn_ruby_installdir="$svn_cv_ruby_sitedir"
-fi
-
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking where to install Ruby scripts" >&5
-$as_echo_n "checking where to install Ruby scripts... " >&6; }
- if ${svn_cv_ruby_sitedir_libsuffix+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_sitedir_libsuffix="`echo "$rbconfig_sitelibdir" | \
- $SED -e "s,^$rbconfig_sitedir,,"`"
-
-fi
-
- SWIG_RB_SITE_LIB_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_libsuffix}"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_RB_SITE_LIB_DIR" >&5
-$as_echo "$SWIG_RB_SITE_LIB_DIR" >&6; }
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking where to install Ruby extensions" >&5
-$as_echo_n "checking where to install Ruby extensions... " >&6; }
- if ${svn_cv_ruby_sitedir_archsuffix+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_sitedir_archsuffix="`echo "$rbconfig_sitearchdir" | \
- $SED -e "s,^$rbconfig_sitedir,,"`"
-
-fi
-
- SWIG_RB_SITE_ARCH_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_archsuffix}"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_RB_SITE_ARCH_DIR" >&5
-$as_echo "$SWIG_RB_SITE_ARCH_DIR" >&6; }
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to use output level for Ruby bindings tests" >&5
-$as_echo_n "checking how to use output level for Ruby bindings tests... " >&6; }
- if ${svn_cv_ruby_test_verbose+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- svn_cv_ruby_test_verbose="normal"
-
-fi
-
-
-# Check whether --with-ruby-test-verbose was given.
-if test "${with_ruby_test_verbose+set}" = set; then :
- withval=$with_ruby_test_verbose; svn_ruby_test_verbose="$withval"
-else
- svn_ruby_test_verbose="$svn_cv_ruby_test_verbose"
-fi
-
- SWIG_RB_TEST_VERBOSE="$svn_ruby_test_verbose"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_RB_TEST_VERBOSE" >&5
-$as_echo "$SWIG_RB_TEST_VERBOSE" >&6; }
-
- SWIG_RB_ERRMSG=""
- fi
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
-
- ;;
- esac
-
-else
-
-
- where=check
-
- if test $where = no; then
- SWIG=none
- elif test $where = required || test $where = check; then
- # Extract the first word of "swig", so it can be a program name with args.
-set dummy swig; ac_word=$2
-{ $as_echo "$as_me:${as_lineno-$LINENO}: checking for $ac_word" >&5
-$as_echo_n "checking for $ac_word... " >&6; }
-if ${ac_cv_path_SWIG+:} false; then :
- $as_echo_n "(cached) " >&6
-else
- case $SWIG in
- [\\/]* | ?:[\\/]*)
- ac_cv_path_SWIG="$SWIG" # Let the user override the test with a path.
- ;;
- *)
- as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
-for as_dir in $PATH
-do
- IFS=$as_save_IFS
- test -z "$as_dir" && as_dir=.
- for ac_exec_ext in '' $ac_executable_extensions; do
- if as_fn_executable_p "$as_dir/$ac_word$ac_exec_ext"; then
- ac_cv_path_SWIG="$as_dir/$ac_word$ac_exec_ext"
- $as_echo "$as_me:${as_lineno-$LINENO}: found $as_dir/$ac_word$ac_exec_ext" >&5
- break 2
- fi
-done
- done
-IFS=$as_save_IFS
-
- test -z "$ac_cv_path_SWIG" && ac_cv_path_SWIG="none"
- ;;
-esac
-fi
-SWIG=$ac_cv_path_SWIG
-if test -n "$SWIG"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG" >&5
-$as_echo "$SWIG" >&6; }
-else
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
-fi
-
-
- if test "$SWIG" = "none" && test $where = required; then
- as_fn_error $? "SWIG required, but not found" "$LINENO" 5
- fi
- else
- if test -f "$where"; then
- SWIG="$where"
- else
- SWIG="$where/bin/swig"
- fi
- if test ! -f "$SWIG" || test ! -x "$SWIG"; then
- as_fn_error $? "Could not find swig binary at $SWIG" "$LINENO" 5
- fi
- fi
-
- if test "$SWIG" != "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking swig version" >&5
-$as_echo_n "checking swig version... " >&6; }
- SWIG_VERSION_RAW="`$SWIG -version 2>&1 | \
- $SED -ne 's/^.*Version \(.*\)$/\1/p'`"
- # We want the version as an integer so we can test against
- # which version we're using. SWIG doesn't provide this
- # to us so we have to come up with it on our own.
- # The major is passed straight through,
- # the minor is zero padded to two places,
- # and the patch level is zero padded to three places.
- # e.g. 1.3.24 becomes 103024
- SWIG_VERSION="`echo \"$SWIG_VERSION_RAW\" | \
- $SED -e 's/[^0-9\.].*$//' \
- -e 's/\.\([0-9]\)$/.0\1/' \
- -e 's/\.\([0-9][0-9]\)$/.0\1/' \
- -e 's/\.\([0-9]\)\./0\1/; s/\.//g;'`"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_VERSION_RAW" >&5
-$as_echo "$SWIG_VERSION_RAW" >&6; }
- # If you change the required swig version number, don't forget to update:
- # subversion/bindings/swig/INSTALL
- if test -n "$SWIG_VERSION" && test "$SWIG_VERSION" -ge "103024"; then
- SWIG_SUITABLE=yes
- else
- SWIG_SUITABLE=no
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Detected SWIG version $SWIG_VERSION_RAW" >&5
-$as_echo "$as_me: WARNING: Detected SWIG version $SWIG_VERSION_RAW" >&2;}
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Subversion requires SWIG >= 1.3.24" >&5
-$as_echo "$as_me: WARNING: Subversion requires SWIG >= 1.3.24" >&2;}
- fi
- fi
-
- SWIG_PY_COMPILE="none"
- SWIG_PY_LINK="none"
- SWIG_PY_OPTS="none"
- SWIG_PY_ERRMSG="check config.log for details"
- if test "$PYTHON" != "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: Configuring python swig binding" >&5
-$as_echo "$as_me: Configuring python swig binding" >&6;}
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Python includes" >&5
-$as_echo_n "checking for Python includes... " >&6; }
-if ${ac_cv_python_includes+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_includes="`$PYTHON ${abs_srcdir}/build/get-py-info.py --includes`"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_includes" >&5
-$as_echo "$ac_cv_python_includes" >&6; }
- SWIG_PY_INCLUDES="\$(SWIG_INCLUDES) $ac_cv_python_includes"
-
- if test "$ac_cv_python_includes" = "none"; then
- SWIG_PY_ERRMSG="no distutils found"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: python bindings cannot be built without distutils module" >&5
-$as_echo "$as_me: WARNING: python bindings cannot be built without distutils module" >&2;}
- else
-
- python_header_found="no"
-
- save_cppflags="$CPPFLAGS"
- CPPFLAGS="$CPPFLAGS $ac_cv_python_includes"
- ac_fn_c_check_header_mongrel "$LINENO" "Python.h" "ac_cv_header_Python_h" "$ac_includes_default"
-if test "x$ac_cv_header_Python_h" = xyes; then :
-
- python_header_found="yes"
-
-fi
-
-
- CPPFLAGS="$save_cppflags"
-
- if test "$python_header_found" = "no"; then
- SWIG_PY_ERRMSG="no Python.h found"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Python.h not found; disabling python swig bindings" >&5
-$as_echo "$as_me: WARNING: Python.h not found; disabling python swig bindings" >&2;}
- else
-
- py3c_found=no
- py3c_skip=no
-
-
-# Check whether --with-py3c was given.
-if test "${with_py3c+set}" = set; then :
- withval=$with_py3c;
- if test "$withval" = "yes"; then
- py3c_skip=no
- elif test "$withval" = "no"; then
- py3c_skip=yes
- else
- py3c_skip=no
- py3c_prefix="$withval"
- fi
-
-fi
-
-
- if test "$py3c_skip" = "yes"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: Skipping configure of py3c" >&5
-$as_echo "$as_me: Skipping configure of py3c" >&6;}
- else
- if test -n "$py3c_prefix"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: py3c library configuration via prefix $py3c_prefix" >&5
-$as_echo "$as_me: py3c library configuration via prefix $py3c_prefix" >&6;}
-
- if test "$ac_cv_python_includes" = "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: py3c cannot be used without distutils module" >&5
-$as_echo "$as_me: WARNING: py3c cannot be used without distutils module" >&2;}
- fi
-
- save_cppflags="$CPPFLAGS"
- CPPFLAGS="$CPPFLAGS $ac_cv_python_includes -I$py3c_prefix/include"
- for ac_header in py3c.h
-do :
- ac_fn_c_check_header_mongrel "$LINENO" "py3c.h" "ac_cv_header_py3c_h" "$ac_includes_default"
-if test "x$ac_cv_header_py3c_h" = xyes; then :
- cat >>confdefs.h <<_ACEOF
-#define HAVE_PY3C_H 1
-_ACEOF
-
- py3c_found="yes"
- SVN_PY3C_INCLUDES="-I$py3c_prefix/include"
-
-fi
-
-done
-
- CPPFLAGS="$save_cppflags"
- else
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: py3c library configuration via pkg-config" >&5
-$as_echo "$as_me: py3c library configuration via pkg-config" >&6;}
- if test -n "$PKG_CONFIG"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for py3c library" >&5
-$as_echo_n "checking for py3c library... " >&6; }
- if $PKG_CONFIG py3c --exists; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
-$as_echo "yes" >&6; }
- py3c_found=yes
- SVN_PY3C_INCLUDES=`$PKG_CONFIG py3c --cflags`
- else
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
-$as_echo "no" >&6; }
- fi
- fi
-
-
- if test "$py3c_found" = "no"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: py3c library configuration without pkg-config" >&5
-$as_echo "$as_me: py3c library configuration without pkg-config" >&6;}
-
- if test "$ac_cv_python_includes" = "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: py3c cannot be used without distutils module" >&5
-$as_echo "$as_me: WARNING: py3c cannot be used without distutils module" >&2;}
- fi
-
- save_cppflags="$CPPFLAGS"
- CPPFLAGS="$CPPFLAGS $ac_cv_python_includes"
- ac_fn_c_check_header_mongrel "$LINENO" "py3c.h" "ac_cv_header_py3c_h" "$ac_includes_default"
-if test "x$ac_cv_header_py3c_h" = xyes; then :
-
- py3c_found="yes"
-
-fi
-
-
- CPPFLAGS="$save_cppflags"
- fi
- fi
- fi
-
-
-
-
- if test "$py3c_found" = "no"; then
- SWIG_PY_ERRMSG="py3c library not found"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: py3c library not found; disabling python swig bindings" >&5
-$as_echo "$as_me: WARNING: py3c library not found; disabling python swig bindings" >&2;}
+ if test -d "$rbconfig_rubyhdrdir"; then
+ svn_cv_ruby_includes="-I. -I$rbconfig_rubyhdrdir"
+ if test -d "$rbconfig_rubyarchhdrdir"; then
+ svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyarchhdrdir"
else
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for compiling Python extensions" >&5
-$as_echo_n "checking for compiling Python extensions... " >&6; }
-if ${ac_cv_python_compile+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_compile="`$PYTHON ${abs_srcdir}/build/get-py-info.py --compile`"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_compile" >&5
-$as_echo "$ac_cv_python_compile" >&6; }
- SWIG_PY_COMPILE="$ac_cv_python_compile $CFLAGS"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for linking Python extensions" >&5
-$as_echo_n "checking for linking Python extensions... " >&6; }
-if ${ac_cv_python_link+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_link="`$PYTHON ${abs_srcdir}/build/get-py-info.py --link`"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_link" >&5
-$as_echo "$ac_cv_python_link" >&6; }
- SWIG_PY_LINK="$ac_cv_python_link"
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for linking Python libraries" >&5
-$as_echo_n "checking for linking Python libraries... " >&6; }
-if ${ac_cv_python_libs+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_libs="`$PYTHON ${abs_srcdir}/build/get-py-info.py --libs`"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_libs" >&5
-$as_echo "$ac_cv_python_libs" >&6; }
- SWIG_PY_LIBS="`
- input_flags="$ac_cv_python_libs"
- output_flags=""
- filtered_dirs="/lib /lib64 /usr/lib /usr/lib64"
- for flag in $input_flags; do
- filter="no"
- for dir in $filtered_dirs; do
- if test "$flag" = "-L$dir" || test "$flag" = "-L$dir/"; then
- filter="yes"
- break
- fi
- done
- if test "$filter" = "no"; then
- output_flags="$output_flags $flag"
- fi
- done
- if test -n "$output_flags"; then
- printf "%s" "${output_flags# }"
- fi
-`"
-
- if test "$SWIG" = "none"; then
- SWIG_PY_ERRMSG=""
- else
- # Look more closely at the SWIG and Python versions to
- # determine SWIG_PY_OPTS. We can skip this if we already
- # have the SWIG-generated files.
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Python >= 3" >&5
-$as_echo_n "checking for Python >= 3... " >&6; }
-if ${ac_cv_python_is_py3+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- ac_cv_python_is_py3="no"
- $PYTHON -c 'import sys; sys.exit(0x3000000 > sys.hexversion)' && \
- ac_cv_python_is_py3="yes"
-
-fi
-{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_python_is_py3" >&5
-$as_echo "$ac_cv_python_is_py3" >&6; }
-
- if test "$ac_cv_python_is_py3" = "yes"; then
- if test "$SWIG_VERSION" -ge "300010"; then
- SWIG_PY_ERRMSG=""
- else
- SWIG_PY_ERRMSG="SWIG version is not suitable"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Subversion Python bindings for Python 3 require SWIG 3.0.10 or newer" >&5
-$as_echo "$as_me: WARNING: Subversion Python bindings for Python 3 require SWIG 3.0.10 or newer" >&2;}
- fi
- if test "$SWIG_VERSION" -lt "400000"; then
- SWIG_PY_OPTS="-python -py3 -nofastunpack -modern"
- else
- SWIG_PY_OPTS="-python -py3 -nofastunpack"
- fi
- else
- if test "$SWIG_VERSION" -lt "400000"; then
- SWIG_PY_OPTS="-python -classic"
- SWIG_PY_ERRMSG=""
- else
- SWIG_PY_OPTS="-python -nofastunpack"
- SWIG_PY_ERRMSG="SWIG version is not suitable"
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Subversion Python bindings for Python 2 require 1.3.24 <= SWIG < 4.0.0" >&5
-$as_echo "$as_me: WARNING: Subversion Python bindings for Python 2 require 1.3.24 <= SWIG < 4.0.0" >&2;}
- fi
- fi
- fi
+ svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyhdrdir/$rbconfig_arch"
fi
- fi
- fi
-
- fi
-
- SWIG_PL_ERRMSG="check config.log for details"
- if test "$PERL" != "none"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking perl version" >&5
-$as_echo_n "checking perl version... " >&6; }
- PERL_VERSION="`$PERL -e 'q([); print $] * 1000000,$/;'`"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $PERL_VERSION" >&5
-$as_echo "$PERL_VERSION" >&6; }
- if test "$PERL_VERSION" -ge "5008000"; then
- SWIG_PL_INCLUDES="\$(SWIG_INCLUDES) `$PERL -MExtUtils::Embed -e ccopts`"
- SWIG_PL_LINK="`$PERL -MExtUtils::Embed -e ldopts`"
- SWIG_PL_LINK="`
- input_flags="$SWIG_PL_LINK"
- output_flags=""
- filtered_dirs="/lib /lib64 /usr/lib /usr/lib64"
- for flag in $input_flags; do
- filter="no"
- for dir in $filtered_dirs; do
- if test "$flag" = "-L$dir" || test "$flag" = "-L$dir/"; then
- filter="yes"
- break
- fi
- done
- if test "$filter" = "no"; then
- output_flags="$output_flags $flag"
- fi
- done
- if test -n "$output_flags"; then
- printf "%s" "${output_flags# }"
- fi
-`"
-
- SWIG_PL_ERRMSG=""
- else
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: perl bindings require perl 5.8.0 or newer." >&5
-$as_echo "$as_me: WARNING: perl bindings require perl 5.8.0 or newer." >&2;}
- fi
- fi
-
- SWIG_RB_COMPILE="none"
- SWIG_RB_LINK="none"
- SWIG_RB_ERRMSG="check config.log for details"
- if test "$RUBY" != "none"; then
- if test x"$SWIG_VERSION" = x"3""00""008"; then
- # Use a local variable to escape the '#' sign.
- ruby_swig_issue_602='https://subversion.apache.org/docs/release-notes/1.11#ruby-swig-issue-602'
- { $as_echo "$as_me:${as_lineno-$LINENO}: WARNING: Ruby bindings are known not to support swig 3.0.8; see $ruby_swig_issue_602" >&5
-$as_echo "$as_me: WARNING: Ruby bindings are known not to support swig 3.0.8; see $ruby_swig_issue_602" >&2;}
- fi
- rbconfig="$RUBY -rrbconfig -e "
-
- for var_name in arch archdir CC LDSHARED DLEXT LIBS LIBRUBYARG \
- rubyhdrdir rubyarchhdrdir sitedir sitelibdir sitearchdir libdir
- do
- rbconfig_tmp=`$rbconfig "print RbConfig::CONFIG['$var_name']"`
- eval "rbconfig_$var_name=\"$rbconfig_tmp\""
- done
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: Configuring Ruby SWIG binding" >&5
-$as_echo "$as_me: Configuring Ruby SWIG binding" >&6;}
-
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for Ruby include path" >&5
-$as_echo_n "checking for Ruby include path... " >&6; }
-if ${svn_cv_ruby_includes+:} false; then :
- $as_echo_n "(cached) " >&6
-else
-
- if test -d "$rbconfig_rubyhdrdir"; then
- svn_cv_ruby_includes="-I. -I$rbconfig_rubyhdrdir"
- if test -d "$rbconfig_rubyarchhdrdir"; then
- svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyarchhdrdir"
else
- svn_cv_ruby_includes="$svn_cv_ruby_includes -I$rbconfig_rubyhdrdir/$rbconfig_arch"
+ svn_cv_ruby_includes="-I. -I$rbconfig_archdir"
fi
- else
- svn_cv_ruby_includes="-I. -I$rbconfig_archdir"
- fi
fi
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $svn_cv_ruby_includes" >&5
$as_echo "$svn_cv_ruby_includes" >&6; }
- SWIG_RB_INCLUDES="\$(SWIG_INCLUDES) $svn_cv_ruby_includes"
+ SWIG_RB_INCLUDES="\$(SWIG_INCLUDES) $svn_cv_ruby_includes"
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to compile Ruby extensions" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to compile Ruby extensions" >&5
$as_echo_n "checking how to compile Ruby extensions... " >&6; }
if ${svn_cv_ruby_compile+:} false; then :
$as_echo_n "(cached) " >&6
else
- svn_cv_ruby_compile="$rbconfig_CC $CFLAGS"
+ svn_cv_ruby_compile="$rbconfig_CC $CFLAGS"
fi
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $svn_cv_ruby_compile" >&5
$as_echo "$svn_cv_ruby_compile" >&6; }
- SWIG_RB_COMPILE="$svn_cv_ruby_compile"
+ SWIG_RB_COMPILE="$svn_cv_ruby_compile"
SWIG_RB_COMPILE=`echo "$SWIG_RB_COMPILE" | $SED -e 's/-ansi//'`
@@ -26531,36 +24527,36 @@ $as_echo "$svn_cv_ruby_compile" >&6; }
SWIG_RB_COMPILE=`echo "$SWIG_RB_COMPILE" | $SED -e 's/-std=c90//'`
- SWIG_RB_COMPILE="$SWIG_RB_COMPILE -Wno-int-to-pointer-cast"
+ SWIG_RB_COMPILE="$SWIG_RB_COMPILE -Wno-int-to-pointer-cast"
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to link Ruby extensions" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to link Ruby extensions" >&5
$as_echo_n "checking how to link Ruby extensions... " >&6; }
if ${svn_cv_ruby_link+:} false; then :
$as_echo_n "(cached) " >&6
else
- svn_cv_ruby_link="`$RUBY -e 'ARGV.shift; print ARGV.join(%q( ))' \
- $rbconfig_LDSHARED`"
- svn_cv_ruby_link="$rbconfig_CC $svn_cv_ruby_link"
- svn_cv_ruby_link="$svn_cv_ruby_link -shrext .$rbconfig_DLEXT"
+ svn_cv_ruby_link="`$SWIG_RB_RUBY -e 'ARGV.shift; print ARGV.join(%q( ))' \
+ $rbconfig_LDSHARED`"
+ svn_cv_ruby_link="$rbconfig_CC $svn_cv_ruby_link"
+ svn_cv_ruby_link="$svn_cv_ruby_link -shrext .$rbconfig_DLEXT"
fi
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $svn_cv_ruby_link" >&5
$as_echo "$svn_cv_ruby_link" >&6; }
- SWIG_RB_LINK="$svn_cv_ruby_link"
+ SWIG_RB_LINK="$svn_cv_ruby_link"
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to link Ruby libraries" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to link Ruby libraries" >&5
$as_echo_n "checking how to link Ruby libraries... " >&6; }
if ${ac_cv_ruby_libs+:} false; then :
$as_echo_n "(cached) " >&6
else
- ac_cv_ruby_libs="$rbconfig_LIBRUBYARG $rbconfig_LIBS"
+ ac_cv_ruby_libs="$rbconfig_LIBRUBYARG $rbconfig_LIBS"
fi
{ $as_echo "$as_me:${as_lineno-$LINENO}: result: $ac_cv_ruby_libs" >&5
$as_echo "$ac_cv_ruby_libs" >&6; }
- SWIG_RB_LIBS="`
+ SWIG_RB_LIBS="`
input_flags="$ac_cv_ruby_libs"
output_flags=""
filtered_dirs="/lib /lib64 /usr/lib /usr/lib64"
@@ -26581,11 +24577,11 @@ $as_echo "$ac_cv_ruby_libs" >&6; }
fi
`"
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking for rb_errinfo" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking for rb_errinfo" >&5
$as_echo_n "checking for rb_errinfo... " >&6; }
- old_CFLAGS="$CFLAGS"
- old_LIBS="$LIBS"
- CFLAGS="$CFLAGS $svn_cv_ruby_includes"
+ old_CFLAGS="$CFLAGS"
+ old_LIBS="$LIBS"
+ CFLAGS="$CFLAGS $svn_cv_ruby_includes"
CFLAGS=`echo "$CFLAGS" | $SED -e 's/-ansi//'`
@@ -26595,8 +24591,8 @@ $as_echo_n "checking for rb_errinfo... " >&6; }
CFLAGS=`echo "$CFLAGS" | $SED -e 's/-std=c90//'`
- LIBS="$SWIG_RB_LIBS"
- cat confdefs.h - <<_ACEOF >conftest.$ac_ext
+ LIBS="$SWIG_RB_LIBS"
+ cat confdefs.h - <<_ACEOF >conftest.$ac_ext
/* end confdefs.h. */
#include <ruby.h>
@@ -26610,24 +24606,24 @@ else
fi
rm -f core conftest.err conftest.$ac_objext \
conftest$ac_exeext conftest.$ac_ext
- if test "$have_rb_errinfo" = "yes"; then
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
+ if test "$have_rb_errinfo" = "yes"; then
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: yes" >&5
$as_echo "yes" >&6; }
$as_echo "#define HAVE_RB_ERRINFO 1" >>confdefs.h
- else
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
+ else
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: no" >&5
$as_echo "no" >&6; }
- fi
- CFLAGS="$old_CFLAGS"
- LIBS="$old_LIBS"
+ fi
+ CFLAGS="$old_CFLAGS"
+ LIBS="$old_LIBS"
- if ${svn_cv_ruby_sitedir+:} false; then :
+ if ${svn_cv_ruby_sitedir+:} false; then :
$as_echo_n "(cached) " >&6
else
- svn_cv_ruby_sitedir="$rbconfig_sitedir"
+ svn_cv_ruby_sitedir="$rbconfig_sitedir"
fi
@@ -26640,43 +24636,43 @@ else
fi
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking where to install Ruby scripts" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking where to install Ruby scripts" >&5
$as_echo_n "checking where to install Ruby scripts... " >&6; }
- if ${svn_cv_ruby_sitedir_libsuffix+:} false; then :
+ if ${svn_cv_ruby_sitedir_libsuffix+:} false; then :
$as_echo_n "(cached) " >&6
else
- svn_cv_ruby_sitedir_libsuffix="`echo "$rbconfig_sitelibdir" | \
- $SED -e "s,^$rbconfig_sitedir,,"`"
+ svn_cv_ruby_sitedir_libsuffix="`echo "$rbconfig_sitelibdir" | \
+ $SED -e "s,^$rbconfig_sitedir,,"`"
fi
- SWIG_RB_SITE_LIB_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_libsuffix}"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_RB_SITE_LIB_DIR" >&5
+ SWIG_RB_SITE_LIB_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_libsuffix}"
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_RB_SITE_LIB_DIR" >&5
$as_echo "$SWIG_RB_SITE_LIB_DIR" >&6; }
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking where to install Ruby extensions" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking where to install Ruby extensions" >&5
$as_echo_n "checking where to install Ruby extensions... " >&6; }
- if ${svn_cv_ruby_sitedir_archsuffix+:} false; then :
+ if ${svn_cv_ruby_sitedir_archsuffix+:} false; then :
$as_echo_n "(cached) " >&6
else
- svn_cv_ruby_sitedir_archsuffix="`echo "$rbconfig_sitearchdir" | \
- $SED -e "s,^$rbconfig_sitedir,,"`"
+ svn_cv_ruby_sitedir_archsuffix="`echo "$rbconfig_sitearchdir" | \
+ $SED -e "s,^$rbconfig_sitedir,,"`"
fi
- SWIG_RB_SITE_ARCH_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_archsuffix}"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_RB_SITE_ARCH_DIR" >&5
+ SWIG_RB_SITE_ARCH_DIR="${svn_ruby_installdir}${svn_cv_ruby_sitedir_archsuffix}"
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_RB_SITE_ARCH_DIR" >&5
$as_echo "$SWIG_RB_SITE_ARCH_DIR" >&6; }
- { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to use output level for Ruby bindings tests" >&5
+ { $as_echo "$as_me:${as_lineno-$LINENO}: checking how to use output level for Ruby bindings tests" >&5
$as_echo_n "checking how to use output level for Ruby bindings tests... " >&6; }
- if ${svn_cv_ruby_test_verbose+:} false; then :
+ if ${svn_cv_ruby_test_verbose+:} false; then :
$as_echo_n "(cached) " >&6
else
- svn_cv_ruby_test_verbose="normal"
+ svn_cv_ruby_test_verbose="normal"
fi
@@ -26688,13 +24684,12 @@ else
svn_ruby_test_verbose="$svn_cv_ruby_test_verbose"
fi
- SWIG_RB_TEST_VERBOSE="$svn_ruby_test_verbose"
- { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_RB_TEST_VERBOSE" >&5
+ SWIG_RB_TEST_VERBOSE="$svn_ruby_test_verbose"
+ { $as_echo "$as_me:${as_lineno-$LINENO}: result: $SWIG_RB_TEST_VERBOSE" >&5
$as_echo "$SWIG_RB_TEST_VERBOSE" >&6; }
- SWIG_RB_ERRMSG=""
- fi
-
+ SWIG_RB_ERRMSG=""
+ fi
@@ -26714,7 +24709,6 @@ $as_echo "$SWIG_RB_TEST_VERBOSE" >&6; }
-fi
@@ -28386,7 +26380,7 @@ cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
# report actual input values of CONFIG_FILES etc. instead of their
# values after options handling.
ac_log="
-This file was extended by subversion $as_me 1.14.2, which was
+This file was extended by subversion $as_me 1.14.3, which was
generated by GNU Autoconf 2.69. Invocation command line was
CONFIG_FILES = $CONFIG_FILES
@@ -28452,7 +26446,7 @@ _ACEOF
cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
ac_cs_config="`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`"
ac_cs_version="\\
-subversion config.status 1.14.2
+subversion config.status 1.14.3
configured by $0, generated by GNU Autoconf 2.69,
with options \\"\$ac_cs_config\\"
diff --git a/configure.ac b/configure.ac
index 42a9f14..31f5368 100644
--- a/configure.ac
+++ b/configure.ac
@@ -1272,35 +1272,142 @@ AS_HELP_STRING([--enable-gprof],
# Scripting and Bindings languages
-# Python: Used for testsuite, and bindings
+# Python: Used for testsuite
AC_ARG_VAR([PYTHON], [Python interpreter command])
PYTHON="`$abs_srcdir/build/find_python.sh`"
if test -z "$PYTHON"; then
- AC_MSG_WARN([Python 2.7 or later is required to run the testsuite])
- AC_MSG_WARN([or to use the Subversion Python bindings])
+ AC_MSG_WARN([Python 2.7 or later is required to run the testsuite.])
AC_MSG_WARN([])
AC_MSG_WARN([If you have a suitable Python installed, but not on the])
AC_MSG_WARN([PATH, set the environment variable PYTHON to the full path])
AC_MSG_WARN([to the Python executable, and re-run configure])
+ PYTHON=none
fi
-AC_PATH_PROGS(PYTHON, "$PYTHON", none)
+AC_SUBST(PYTHON)
# The minimum version for the JVM runtime for our Java bytecode.
JAVA_OLDEST_WORKING_VER='1.8'
# SVN_CHECK_JDK sets $JAVA_CLASSPATH
SVN_CHECK_JDK($JAVA_OLDEST_WORKING_VER)
-AC_PATH_PROG(PERL, perl, none)
-
+AC_ARG_WITH(swig_perl,
+[AS_HELP_STRING([[--with-swig-perl[=PATH|auto|no]|--without-swig-perl]],
+ [Specify path to SWIG bindings target Perl interpreter
+ [default=auto]. If the option value is 'auto' or it is not
+ specfied, search for the Perl program.]
+ m4_ifndef([SVN_RELEASE_MODE],
+ [[Implies --with-swig=yes if PATH is specfied or Perl
+ is found on 'auto' detection.]]))
+],
+[],
+[
+if test -n "$PERL"; then
+ if test "$PERL" = "no" -o "$PERL" = "none"; then
+ with_swig_perl=no
+ AC_MSG_WARN([Disabling the SWIG Perl bindings' build by setting the PERL])
+ AC_MSG_WARN([environment variable to "none" is deprecated.])
+ AC_MSG_WARN([])
+ AC_MSG_WARN([Please use --without-swig-perl instead.])
+ else
+ with_swig_perl=auto
+ AC_MSG_WARN([Specfying the Perl path for SWIG Perl bindings' build])
+ AC_MSG_WARN([by setting the PERL environment variable is deprecated.])
+ AC_MSG_WARN([])
+ AC_MSG_WARN([Please use --with-swig-perl=PATH instead.])
+ fi
+else
+ with_swig_perl=auto
+fi
+])
+case $with_swig_perl in
+ yes|auto|"")
+ # honor PERL variable only if it is set and is full path.
+ AC_PATH_PROG(PERL, perl, none)
+ SWIG_PL_PERL="$PERL"
+ ;;
+ no|none)
+ SWIG_PL_PERL=none
+ ;;
+ *)
+ SWIG_PL_PERL="$with_swig_perl"
+ ;;
+esac
+AC_SUBST(SWIG_PL_PERL)
+
+# Python: as a target of SWIG Python bindings
+AC_ARG_WITH(swig_python,
+[AS_HELP_STRING([[--with-swig-python[=PATH|auto|no]|--without-swig-python]],
+ [Specify path to SWIG bindings target Python interpreter
+ [default=auto]. If the option value is 'auto' or it is not
+ specfied, search for the Python program.]
+ m4_ifndef([SVN_RELEASE_MODE],
+ [[Implies --with-swig=yes if PATH is specfied or Python
+ is found on 'auto' detection.]]))
+],
+[],
+[
+ with_swig_python=auto
+])
+case $with_swig_python in
+ yes|auto|"")
+ SWIG_PY_PYTHON="$PYTHON"
+ ;;
+ no|none)
+ SWIG_PY_PYTHON=none
+ ;;
+ *)
+ SWIG_PY_PYTHON="$with_swig_python"
+ ;;
+esac
+AC_SUBST(SWIG_PY_PYTHON)
+
+AC_ARG_WITH(swig_ruby,
+[AS_HELP_STRING([[--with-swig-ruby[=PATH|auto|no]|--without-swig-ruby]],
+ [specify path to SWIG bindings target Ruby interpreter
+ [default=auto]. If the option value is 'auto' or it is not
+ specfied, search for the Ruby program.]
+ m4_ifndef([SVN_RELEASE_MODE],
+ [[Implies --with-swig=yes if PATH is specfied or Ruby
+ is found on 'auto' detection.]]))
+],
+[],
+[
if test -n "$RUBY"; then
- AC_PATH_PROG(RUBY, "$RUBY", none)
+ if test "$RUBY" = "no" -o "$RUBY" = "none"; then
+ with_swig_ruby=no
+ AC_MSG_WARN([Disabling the SWIG Ruby bindings' build by setting the RUBY])
+ AC_MSG_WARN([environment variable to "none" is deprecated.])
+ AC_MSG_WARN([])
+ AC_MSG_WARN([Please use --without-swig-ruby instead.])
+ else
+ with_swig_ruby="$RUBY"
+ AC_MSG_WARN([Specfying the Ruby path for SWIG Ruby bindings' build])
+ AC_MSG_WARN([by setting the RUBY environment variable is deprecated.])
+ AC_MSG_WARN([])
+ AC_MSG_WARN([Please use --with-swig-ruby=PATH instead.])
+ fi
else
- AC_PATH_PROGS(RUBY, ruby ruby1 ruby1.8 ruby18 ruby1.9 ruby19 ruby1.9.3 ruby193 ruby2 ruby2.0 ruby20 ruby2.1 ruby21 ruby2.2 ruby22 ruby2.3 ruby23 ruby2.4 ruby24, none)
+ with_swig_ruby=auto
fi
-if test "$RUBY" != "none"; then
+])
+case $with_swig_ruby in
+ yes|auto|"")
+ # honor RUBY variable only if it is set and is full path.
+ AC_PATH_PROGS(RUBY, ruby ruby1 ruby1.8 ruby18 ruby1.9 ruby19 ruby1.9.3 ruby193 ruby2 ruby2.0 ruby20 ruby2.1 ruby21 ruby2.2 ruby22 ruby2.3 ruby23 ruby2.4 ruby24, none)
+ SWIG_RB_RUBY="$RUBY"
+ ;;
+ no|none)
+ SWIG_RB_RUBY=none
+ ;;
+ *)
+ SWIG_RB_RUBY="$with_swig_ruby"
+ ;;
+esac
+AC_SUBST(SWIG_RB_RUBY)
+if test "$SWIG_RB_RUBY" != "none"; then
AC_MSG_CHECKING([rb_hash_foreach])
- if "$RUBY" -r mkmf -e 'exit(have_func("rb_hash_foreach") ? 0 : 1)' >/dev/null; then
+ if "$SWIG_RB_RUBY" -r mkmf -e 'exit(have_func("rb_hash_foreach") ? 0 : 1)' >/dev/null; then
AC_MSG_RESULT([yes])
if test -n "$RDOC"; then
AC_PATH_PROG(RDOC, "$RDOC", none)
@@ -1308,17 +1415,17 @@ if test "$RUBY" != "none"; then
AC_PATH_PROGS(RDOC, rdoc rdoc1 rdoc1.8 rdoc18 rdoc1.9 rdoc19 rdoc1.9.3 rdoc193 rdoc2 rdoc2.0 rdoc20 rdoc2.1 rdoc21 rdoc2.2 rdoc22 rdoc2.3 rdoc23 rdoc2.4 rdoc24, none)
fi
AC_CACHE_CHECK([for Ruby major version], [svn_cv_ruby_major],[
- svn_cv_ruby_major="`$RUBY -rrbconfig -e 'print RbConfig::CONFIG.fetch(%q(MAJOR))'`"
+ svn_cv_ruby_major="`$SWIG_RB_RUBY -rrbconfig -e 'print RbConfig::CONFIG.fetch(%q(MAJOR))'`"
])
RUBY_MAJOR="$svn_cv_ruby_major"
AC_CACHE_CHECK([for Ruby minor version], [svn_cv_ruby_minor],[
- svn_cv_ruby_minor="`$RUBY -rrbconfig -e 'print RbConfig::CONFIG.fetch(%q(MINOR))'`"
+ svn_cv_ruby_minor="`$SWIG_RB_RUBY -rrbconfig -e 'print RbConfig::CONFIG.fetch(%q(MINOR))'`"
])
RUBY_MINOR="$svn_cv_ruby_minor"
AC_CACHE_CHECK([for Ruby teeny version], [svn_cv_ruby_teeny],[
- svn_cv_ruby_teeny="`$RUBY -rrbconfig -e 'major, minor, teeny = RUBY_VERSION.split("."); print teeny;'`"
+ svn_cv_ruby_teeny="`$SWIG_RB_RUBY -rrbconfig -e 'major, minor, teeny = RUBY_VERSION.split("."); print teeny;'`"
])
RUBY_TEENY="$svn_cv_ruby_teeny"
@@ -1327,13 +1434,13 @@ if test "$RUBY" != "none"; then
AC_SUBST(RUBY_TEENY)
if test \( "$RUBY_MAJOR" -eq "1" -a "$RUBY_MINOR" -gt "8" -a "$RUBY_TEENY" -lt "3" \); then
# Disallow Ruby between 1.8.7 and 1.9.3
- RUBY="none"
+ SWIG_RB_RUBY="none"
AC_MSG_WARN([The detected Ruby is between 1.9 and 1.9.3])
AC_MSG_WARN([Only 1.8.x and 1.9.3 or later are supported at this time])
fi
else
AC_MSG_RESULT([no])
- RUBY="none"
+ SWIG_RB_RUBY="none"
AC_MSG_WARN([The detected Ruby is too old for Subversion to use])
AC_MSG_WARN([A Ruby which has rb_hash_foreach is required to use the])
AC_MSG_WARN([Subversion Ruby bindings])
diff --git a/subversion/bindings/javahl/src/org/apache/subversion/javahl/NativeException.java b/subversion/bindings/javahl/src/org/apache/subversion/javahl/NativeException.java
index 1744438..dae70c6 100644
--- a/subversion/bindings/javahl/src/org/apache/subversion/javahl/NativeException.java
+++ b/subversion/bindings/javahl/src/org/apache/subversion/javahl/NativeException.java
@@ -85,7 +85,11 @@ class NativeException extends SubversionException
*/
public String getMessage()
{
- StringBuffer msg = new StringBuffer(super.getMessage());
+ StringBuilder msg = new StringBuilder();
+ String message = super.getMessage();
+ if (message != null) {
+ msg.append(message);
+ }
// ### This might be better off in JNIUtil::handleSVNError().
String src = getSource();
if (src != null)
diff --git a/subversion/bindings/javahl/src/org/tigris/subversion/javahl/NativeException.java b/subversion/bindings/javahl/src/org/tigris/subversion/javahl/NativeException.java
index e95abdc..99ce9e1 100644
--- a/subversion/bindings/javahl/src/org/tigris/subversion/javahl/NativeException.java
+++ b/subversion/bindings/javahl/src/org/tigris/subversion/javahl/NativeException.java
@@ -88,7 +88,11 @@ class NativeException extends SubversionException
*/
public String getMessage()
{
- StringBuffer msg = new StringBuffer(super.getMessage());
+ StringBuilder msg = new StringBuilder();
+ String message = super.getMessage();
+ if (message != null) {
+ msg.append(message);
+ }
// ### This might be better off in JNIUtil::handleSVNError().
String src = getSource();
if (src != null)
diff --git a/subversion/bindings/javahl/src/org/tigris/subversion/javahl/SVNClient.java b/subversion/bindings/javahl/src/org/tigris/subversion/javahl/SVNClient.java
index 36c37e5..64179ae 100644
--- a/subversion/bindings/javahl/src/org/tigris/subversion/javahl/SVNClient.java
+++ b/subversion/bindings/javahl/src/org/tigris/subversion/javahl/SVNClient.java
@@ -1200,6 +1200,7 @@ public class SVNClient implements SVNClientInterface
* boolean, boolean, int, String)} instead.
* @since 1.0
*/
+ @Deprecated
public long doExport(String srcPath, String destPath,
Revision revision, boolean force)
throws ClientException
@@ -1213,6 +1214,7 @@ public class SVNClient implements SVNClientInterface
* boolean, boolean, int, String)} instead.
* @since 1.2
*/
+ @Deprecated
public long doExport(String srcPath, String destPath, Revision revision,
Revision pegRevision, boolean force,
boolean ignoreExternals, boolean recurse,
@@ -1251,6 +1253,7 @@ public class SVNClient implements SVNClientInterface
* instead.
* @since 1.0
*/
+ @Deprecated
public long doSwitch(String path, String url, Revision revision,
boolean recurse)
throws ClientException
@@ -1265,6 +1268,7 @@ public class SVNClient implements SVNClientInterface
* boolean)} instead.
* @since 1.5
*/
+ @Deprecated
public long doSwitch(String path, String url, Revision revision,
Revision pegRevision, int depth,
boolean depthIsSticky, boolean ignoreExternals,
@@ -1359,6 +1363,7 @@ public class SVNClient implements SVNClientInterface
* boolean, boolean)} instead.
* @since 1.0
*/
+ @Deprecated
public void merge(String path1, Revision revision1, String path2,
Revision revision2, String localPath,
boolean force, boolean recurse)
@@ -1374,6 +1379,7 @@ public class SVNClient implements SVNClientInterface
* boolean, boolean)} instead.
* @since 1.2
*/
+ @Deprecated
public void merge(String path1, Revision revision1, String path2,
Revision revision2, String localPath, boolean force,
boolean recurse, boolean ignoreAncestry, boolean dryRun)
diff --git a/subversion/bindings/javahl/tests/org/apache/subversion/javahl/BasicTests.java b/subversion/bindings/javahl/tests/org/apache/subversion/javahl/BasicTests.java
index 4565b22..c1eae97 100644
--- a/subversion/bindings/javahl/tests/org/apache/subversion/javahl/BasicTests.java
+++ b/subversion/bindings/javahl/tests/org/apache/subversion/javahl/BasicTests.java
@@ -27,6 +27,7 @@ import static org.junit.Assert.*;
import org.apache.subversion.javahl.callback.*;
import org.apache.subversion.javahl.remote.*;
import org.apache.subversion.javahl.types.*;
+import org.apache.subversion.javahl.NativeException;
import java.io.File;
import java.io.FileOutputStream;
@@ -4747,6 +4748,17 @@ public class BasicTests extends SVNTests
}
/**
+ * Test getMessage in NativeException.
+ * @throws Throwable
+ */
+ public void testGetMessage() throws Throwable
+ {
+ /* NativeException with a null message previously threw a NullPointerException */
+ assertEquals("", new NativeException(null, null, null, 0).getMessage());
+ assertEquals("messagesvn: source: (apr_err=0)", new NativeException("message", "source", null, 0).getMessage());
+ }
+
+ /**
* @return <code>file</code> converted into a -- possibly
* <code>canonical</code>-ized -- Subversion-internal path
* representation.
diff --git a/subversion/bindings/javahl/tests/org/tigris/subversion/javahl/BasicTests.java b/subversion/bindings/javahl/tests/org/tigris/subversion/javahl/BasicTests.java
index 62a881f..70e6249 100644
--- a/subversion/bindings/javahl/tests/org/tigris/subversion/javahl/BasicTests.java
+++ b/subversion/bindings/javahl/tests/org/tigris/subversion/javahl/BasicTests.java
@@ -22,6 +22,8 @@
*/
package org.tigris.subversion.javahl;
+import org.tigris.subversion.javahl.NativeException;
+
import java.io.File;
import java.io.FileOutputStream;
import java.io.FileNotFoundException;
@@ -3321,6 +3323,17 @@ public class BasicTests extends SVNTests
}
/**
+ * Test getMessage in NativeException.
+ * @throws Throwable
+ */
+ public void testGetMessage() throws Throwable
+ {
+ /* NativeException with a null message previously threw a NullPointerException */
+ assertEquals("", new NativeException(null, null, 0).getMessage());
+ assertEquals("messagesvn: source: (apr_err=0)", new NativeException("message", "source", 0).getMessage());
+ }
+
+ /**
* @return <code>file</code> converted into a -- possibly
* <code>canonical</code>-ized -- Subversion-internal path
* representation.
diff --git a/subversion/bindings/swig/INSTALL b/subversion/bindings/swig/INSTALL
index 326bb7c..6cc3960 100644
--- a/subversion/bindings/swig/INSTALL
+++ b/subversion/bindings/swig/INSTALL
@@ -141,21 +141,31 @@ Step 2: Build and Install Subversion.
See Subversion's own INSTALL file for details.
- Make sure that Subversion's ./configure script sees your installed SWIG!
+ If you are using a Subversion distribution tarball and want to rebuild
+ the SWIG language bindings C source files with your installed SWIG,
+ you need to execute autogen.sh, because the bundled configure script
+ and makefiles don't support it.
+
+ If you don't use SWIG bindings C source files already generated,
+ make sure that Subversion's ./configure script sees your installed SWIG!
It tries to detect SWIG near the very end of its output.
You can find it by running 'grep "^SWIG=" config.log'.
- Also make sure that the configure script sees the paths to the perl and/or
- python executable you used to configure SWIG as above. If it does not then
- you can specify the correct path by adding PYTHON=/path/to/python or
- PERL=/path/to/perl onto the command line for configure. For example:
- ./configure PYTHON=/usr/bin/python3.7 PERL=/usr/bin/perl5.28.2
+ Also make sure that the configure script sees the paths to the perl,
+ ruby, and/or python executable you used to configure SWIG as above.
+ If it does not then you can specify the correct path by specifying
+ --with-swig-python=/path/to/python, --with-swig-perl=/path/to/perl,
+ and/or --with-swig-ruby=/path/to/ruby to the command line for configure.
+ For example:
+ ./configure --with-swig-python=/usr/bin/python3.7 \
+ --with-swig-perl=/usr/bin/perl5.28.2 \
+ --with-swig-ruby=/usr/bin/ruby2.7
If Subversion's ./configure finds a SWIG that it's happy with, then
it will build special glue libraries to link svn to the swig bindings:
- libsvn_swig_py.so (for Python)
- libsvn_swig_perl.so (for Perl)
-
+ libsvn_swig_py-1.so (for Python)
+ libsvn_swig_perl-1.so (for Perl)
+ libsvn_swig_ruby-1.so (for Ruby)
Step 3: Install Specific Language Bindings
@@ -209,10 +219,10 @@ Step 3: Install Specific Language Bindings
* Perl
Perl 5.8.0 is required. You can specify the perl binary by passing
- PERL=/path/to/perl as part of the configure command in the top level
- of the Subversion source tree. Make sure that the Perl version used
- is the same one that you configured SWIG to run against during the
- SWIG configure (see above).
+ --with-swig-perl=/path/to/perl as an option to the configure command
+ in the top level of the Subversion source tree. Make sure that the
+ Perl version used is the same one that you configured SWIG to run
+ against during the SWIG configure (see above).
1. Run `make swig-pl' from the top of the Subversion build tree.
@@ -251,10 +261,11 @@ Step 3: Install Specific Language Bindings
3. To install, run `make install-swig-rb' from the top of the
Subversion build tree.
- You can specify the ruby binary by passing RUBY=/path/to/ruby as part
- of the configure command in the top level of the Subversion source
- tree. Make sure that the Ruby version used is the same one that you
- configured SWIG to run against during the SWIG configure (see above).
+ You can specify the ruby binary by passing --with-swig-ruby=/path/to/ruby
+ as an option to the configure command in the top level of the
+ Subversion source tree. Make sure that the Ruby version used is
+ the same one that you configured SWIG to run against during the
+ SWIG configure (see above).
BUILDING SWIG BINDINGS FOR SVN ON WINDOWS
diff --git a/subversion/bindings/swig/include/proxy.swg b/subversion/bindings/swig/include/proxy.swg
index ac67d43..7d2d0dd 100644
--- a/subversion/bindings/swig/include/proxy.swg
+++ b/subversion/bindings/swig/include/proxy.swg
@@ -66,7 +66,6 @@
fn()
%}
-#if defined(SWIGPYTHON_PY3)
#if SWIG_VERSION >= 0x040000
%pythoncode %{
# -classic and -modern options have been dropped and this variable
@@ -76,7 +75,7 @@
_set_instance_attr = _swig_setattr_nondynamic_instance_variable(object.__setattr__)
%}
-#else
+#elif defined(SWIGPYTHON_PY3)
%pythoncode %{
# SWIG classes generated with -modern do not define this variable
try:
@@ -90,7 +89,6 @@
_set_instance_attr = _swig_setattr_nondynamic_method(object.__setattr__)
%}
-#endif
#else
%pythoncode %{
# SWIG classes generated with -classic do not define this variable,
diff --git a/subversion/bindings/swig/perl/native/core.c b/subversion/bindings/swig/perl/native/core.c
index 3beed0b..7075d5e 100644
--- a/subversion/bindings/swig/perl/native/core.c
+++ b/subversion/bindings/swig/perl/native/core.c
@@ -5913,7 +5913,7 @@ XS(_wrap_svn_log_entry_t_changed_paths2_get) {
arg1 = (struct svn_log_entry_t *)(argp1);
result = (apr_hash_t *) ((arg1)->changed_paths2);
{
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = (result) ? svn_swig_pl_convert_hash(result, SWIGTYPE_p_svn_log_changed_path2_t)
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = (result) ? svn_swig_pl_convert_hash(result, SWIGTYPE_p_svn_log_changed_path2_t)
:&PL_sv_undef; argvi++ /*@SWIG@*/
/*@SWIG@*/
@@ -18758,7 +18758,7 @@ XS(_wrap_svn_auth_get_platform_specific_client_providers) {
}
}
{
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = svn_swig_pl_convert_array(*arg1,
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = svn_swig_pl_convert_array(*arg1,
SWIGTYPE_p_svn_auth_provider_object_t); argvi++ /*@SWIG@*/
/*@SWIG@*/
;
@@ -30523,7 +30523,7 @@ XS(_wrap_svn_io_file_checksum) {
}
}
{
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = sv_2mortal(newSVpv(svn_md5_digest_to_cstring(arg1,
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = sv_2mortal(newSVpv(svn_md5_digest_to_cstring(arg1,
_global_pool),
0)); argvi++ /*@SWIG@*/
@@ -51181,3082 +51181,3082 @@ XS(SWIG_init) {
SvREADONLY_on(sv);
}
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SWIG_SVN_INVALID_REVNUM", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_long SWIG_PERL_CALL_ARGS_1((long)(-1)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SWIG_SVN_IGNORED_REVNUM", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_long SWIG_PERL_CALL_ARGS_1((long)(-1)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CATEGORY_SIZE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(5000)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_WARNING", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_WARNING)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_CONTAINING_POOL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_CONTAINING_POOL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_FILENAME", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_FILENAME)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_URL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_URL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_DATE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_DATE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_MIME_TYPE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_MIME_TYPE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_PROPERTY_VALUE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_PROPERTY_VALUE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_VERSION_FILE_FORMAT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_VERSION_FILE_FORMAT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_RELATIVE_PATH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_RELATIVE_PATH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_UUID", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_UUID)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_CONFIG_VALUE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_CONFIG_VALUE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_SERVER_SPECIFICATION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_SERVER_SPECIFICATION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_CHECKSUM_KIND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_CHECKSUM_KIND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_CHECKSUM_PARSE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_CHECKSUM_PARSE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_TOKEN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_TOKEN)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_CHANGELIST_NAME", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_CHANGELIST_NAME)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_ATOMIC", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_ATOMIC)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_COMPRESSION_METHOD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_COMPRESSION_METHOD)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_PROPERTY_VALUE_EOL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_PROPERTY_VALUE_EOL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_XML_ATTRIB_NOT_FOUND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_XML_ATTRIB_NOT_FOUND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_XML_MISSING_ANCESTRY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_XML_MISSING_ANCESTRY)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_XML_UNKNOWN_ENCODING", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_XML_UNKNOWN_ENCODING)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_XML_MALFORMED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_XML_MALFORMED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_XML_UNESCAPABLE_DATA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_XML_UNESCAPABLE_DATA)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_XML_UNEXPECTED_ELEMENT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_XML_UNEXPECTED_ELEMENT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_IO_INCONSISTENT_EOL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_IO_INCONSISTENT_EOL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_IO_UNKNOWN_EOL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_IO_UNKNOWN_EOL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_IO_CORRUPT_EOL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_IO_CORRUPT_EOL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_IO_UNIQUE_NAMES_EXHAUSTED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_IO_UNIQUE_NAMES_EXHAUSTED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_IO_PIPE_FRAME_ERROR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_IO_PIPE_FRAME_ERROR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_IO_PIPE_READ_ERROR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_IO_PIPE_READ_ERROR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_IO_WRITE_ERROR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_IO_WRITE_ERROR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_IO_PIPE_WRITE_ERROR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_IO_PIPE_WRITE_ERROR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_STREAM_UNEXPECTED_EOF", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_STREAM_UNEXPECTED_EOF)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_STREAM_MALFORMED_DATA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_STREAM_MALFORMED_DATA)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_STREAM_UNRECOGNIZED_DATA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_STREAM_UNRECOGNIZED_DATA)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_STREAM_SEEK_NOT_SUPPORTED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_STREAM_SEEK_NOT_SUPPORTED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_STREAM_NOT_SUPPORTED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_STREAM_NOT_SUPPORTED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_NODE_UNKNOWN_KIND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_NODE_UNKNOWN_KIND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_NODE_UNEXPECTED_KIND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_NODE_UNEXPECTED_KIND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ENTRY_NOT_FOUND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ENTRY_NOT_FOUND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ENTRY_EXISTS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ENTRY_EXISTS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ENTRY_MISSING_REVISION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ENTRY_MISSING_REVISION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ENTRY_MISSING_URL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ENTRY_MISSING_URL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ENTRY_ATTRIBUTE_INVALID", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ENTRY_ATTRIBUTE_INVALID)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ENTRY_FORBIDDEN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ENTRY_FORBIDDEN)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_OBSTRUCTED_UPDATE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_OBSTRUCTED_UPDATE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_UNWIND_MISMATCH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_UNWIND_MISMATCH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_UNWIND_EMPTY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_UNWIND_EMPTY)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_UNWIND_NOT_EMPTY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_UNWIND_NOT_EMPTY)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_LOCKED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_LOCKED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_NOT_LOCKED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_NOT_LOCKED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_INVALID_LOCK", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_INVALID_LOCK)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_NOT_WORKING_COPY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_NOT_WORKING_COPY)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_NOT_DIRECTORY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_NOT_DIRECTORY)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_NOT_FILE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_NOT_FILE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_BAD_ADM_LOG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_BAD_ADM_LOG)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_PATH_NOT_FOUND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_PATH_NOT_FOUND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_NOT_UP_TO_DATE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_NOT_UP_TO_DATE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_LEFT_LOCAL_MOD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_LEFT_LOCAL_MOD)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_SCHEDULE_CONFLICT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_SCHEDULE_CONFLICT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_PATH_FOUND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_PATH_FOUND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_FOUND_CONFLICT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_FOUND_CONFLICT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_CORRUPT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_CORRUPT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_CORRUPT_TEXT_BASE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_CORRUPT_TEXT_BASE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_NODE_KIND_CHANGE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_NODE_KIND_CHANGE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_INVALID_OP_ON_CWD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_INVALID_OP_ON_CWD)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_BAD_ADM_LOG_START", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_BAD_ADM_LOG_START)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_UNSUPPORTED_FORMAT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_UNSUPPORTED_FORMAT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_BAD_PATH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_BAD_PATH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_INVALID_SCHEDULE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_INVALID_SCHEDULE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_INVALID_RELOCATION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_INVALID_RELOCATION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_INVALID_SWITCH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_INVALID_SWITCH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_MISMATCHED_CHANGELIST", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_MISMATCHED_CHANGELIST)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_CONFLICT_RESOLVER_FAILURE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_CONFLICT_RESOLVER_FAILURE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_COPYFROM_PATH_NOT_FOUND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_COPYFROM_PATH_NOT_FOUND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_CHANGELIST_MOVE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_CHANGELIST_MOVE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_CANNOT_DELETE_FILE_EXTERNAL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_CANNOT_DELETE_FILE_EXTERNAL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_CANNOT_MOVE_FILE_EXTERNAL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_CANNOT_MOVE_FILE_EXTERNAL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_DB_ERROR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_DB_ERROR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_MISSING", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_MISSING)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_NOT_SYMLINK", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_NOT_SYMLINK)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_PATH_UNEXPECTED_STATUS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_PATH_UNEXPECTED_STATUS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_UPGRADE_REQUIRED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_UPGRADE_REQUIRED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_CLEANUP_REQUIRED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_CLEANUP_REQUIRED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_INVALID_OPERATION_DEPTH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_INVALID_OPERATION_DEPTH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_PATH_ACCESS_DENIED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_PATH_ACCESS_DENIED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_MIXED_REVISIONS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_MIXED_REVISIONS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_WC_DUPLICATE_EXTERNALS_TARGET", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_WC_DUPLICATE_EXTERNALS_TARGET)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_GENERAL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_GENERAL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_CLEANUP", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_CLEANUP)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_ALREADY_OPEN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_ALREADY_OPEN)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NOT_OPEN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NOT_OPEN)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_CORRUPT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_CORRUPT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_PATH_SYNTAX", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_PATH_SYNTAX)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NO_SUCH_REVISION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NO_SUCH_REVISION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NO_SUCH_TRANSACTION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NO_SUCH_TRANSACTION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NO_SUCH_ENTRY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NO_SUCH_ENTRY)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NO_SUCH_REPRESENTATION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NO_SUCH_REPRESENTATION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NO_SUCH_STRING", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NO_SUCH_STRING)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NO_SUCH_COPY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NO_SUCH_COPY)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_TRANSACTION_NOT_MUTABLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_TRANSACTION_NOT_MUTABLE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NOT_FOUND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NOT_FOUND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_ID_NOT_FOUND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_ID_NOT_FOUND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NOT_ID", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NOT_ID)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NOT_DIRECTORY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NOT_DIRECTORY)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NOT_FILE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NOT_FILE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NOT_SINGLE_PATH_COMPONENT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NOT_SINGLE_PATH_COMPONENT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NOT_MUTABLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NOT_MUTABLE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_ALREADY_EXISTS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_ALREADY_EXISTS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_ROOT_DIR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_ROOT_DIR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NOT_TXN_ROOT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NOT_TXN_ROOT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NOT_REVISION_ROOT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NOT_REVISION_ROOT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_CONFLICT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_CONFLICT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_REP_CHANGED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_REP_CHANGED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_REP_NOT_MUTABLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_REP_NOT_MUTABLE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_MALFORMED_SKEL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_MALFORMED_SKEL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_TXN_OUT_OF_DATE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_TXN_OUT_OF_DATE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_BERKELEY_DB", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_BERKELEY_DB)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_BERKELEY_DB_DEADLOCK", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_BERKELEY_DB_DEADLOCK)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_TRANSACTION_DEAD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_TRANSACTION_DEAD)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_TRANSACTION_NOT_DEAD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_TRANSACTION_NOT_DEAD)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_UNKNOWN_FS_TYPE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_UNKNOWN_FS_TYPE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NO_USER", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NO_USER)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_PATH_ALREADY_LOCKED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_PATH_ALREADY_LOCKED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_PATH_NOT_LOCKED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_PATH_NOT_LOCKED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_BAD_LOCK_TOKEN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_BAD_LOCK_TOKEN)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NO_LOCK_TOKEN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NO_LOCK_TOKEN)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_LOCK_OWNER_MISMATCH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_LOCK_OWNER_MISMATCH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NO_SUCH_LOCK", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NO_SUCH_LOCK)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_LOCK_EXPIRED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_LOCK_EXPIRED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_OUT_OF_DATE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_OUT_OF_DATE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_UNSUPPORTED_FORMAT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_UNSUPPORTED_FORMAT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_REP_BEING_WRITTEN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_REP_BEING_WRITTEN)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_TXN_NAME_TOO_LONG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_TXN_NAME_TOO_LONG)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NO_SUCH_NODE_ORIGIN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NO_SUCH_NODE_ORIGIN)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_UNSUPPORTED_UPGRADE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_UNSUPPORTED_UPGRADE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_NO_SUCH_CHECKSUM_REP", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_NO_SUCH_CHECKSUM_REP)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_PROP_BASEVALUE_MISMATCH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_PROP_BASEVALUE_MISMATCH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_INCORRECT_EDITOR_COMPLETION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_INCORRECT_EDITOR_COMPLETION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_PACKED_REVPROP_READ_FAILURE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_PACKED_REVPROP_READ_FAILURE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_REVPROP_CACHE_INIT_FAILURE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_REVPROP_CACHE_INIT_FAILURE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_MALFORMED_TXN_ID", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_MALFORMED_TXN_ID)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_INDEX_CORRUPTION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_INDEX_CORRUPTION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_INDEX_REVISION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_INDEX_REVISION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_INDEX_OVERFLOW", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_INDEX_OVERFLOW)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_CONTAINER_INDEX", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_CONTAINER_INDEX)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_INDEX_INCONSISTENT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_INDEX_INCONSISTENT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_LOCK_OPERATION_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_LOCK_OPERATION_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_UNSUPPORTED_TYPE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_UNSUPPORTED_TYPE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_CONTAINER_SIZE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_CONTAINER_SIZE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_MALFORMED_NODEREV_ID", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_MALFORMED_NODEREV_ID)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_INVALID_GENERATION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_INVALID_GENERATION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_CORRUPT_REVPROP_MANIFEST", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_CORRUPT_REVPROP_MANIFEST)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_CORRUPT_PROPLIST", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_CORRUPT_PROPLIST)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_AMBIGUOUS_CHECKSUM_REP", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_AMBIGUOUS_CHECKSUM_REP)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_UNRECOGNIZED_IOCTL_CODE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_UNRECOGNIZED_IOCTL_CODE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_REP_SHARING_NOT_ALLOWED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_REP_SHARING_NOT_ALLOWED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_FS_REP_SHARING_NOT_SUPPORTED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_FS_REP_SHARING_NOT_SUPPORTED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_REPOS_LOCKED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_REPOS_LOCKED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_REPOS_HOOK_FAILURE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_REPOS_HOOK_FAILURE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_REPOS_BAD_ARGS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_REPOS_BAD_ARGS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_REPOS_NO_DATA_FOR_REPORT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_REPOS_NO_DATA_FOR_REPORT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_REPOS_BAD_REVISION_REPORT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_REPOS_BAD_REVISION_REPORT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_REPOS_UNSUPPORTED_VERSION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_REPOS_UNSUPPORTED_VERSION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_REPOS_DISABLED_FEATURE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_REPOS_DISABLED_FEATURE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_REPOS_POST_COMMIT_HOOK_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_REPOS_POST_COMMIT_HOOK_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_REPOS_POST_LOCK_HOOK_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_REPOS_POST_LOCK_HOOK_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_REPOS_POST_UNLOCK_HOOK_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_REPOS_POST_UNLOCK_HOOK_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_REPOS_UNSUPPORTED_UPGRADE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_REPOS_UNSUPPORTED_UPGRADE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_ILLEGAL_URL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_ILLEGAL_URL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_NOT_AUTHORIZED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_NOT_AUTHORIZED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_UNKNOWN_AUTH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_UNKNOWN_AUTH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_NOT_IMPLEMENTED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_NOT_IMPLEMENTED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_OUT_OF_DATE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_OUT_OF_DATE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_NO_REPOS_UUID", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_NO_REPOS_UUID)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_UNSUPPORTED_ABI_VERSION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_UNSUPPORTED_ABI_VERSION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_NOT_LOCKED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_NOT_LOCKED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_PARTIAL_REPLAY_NOT_SUPPORTED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_PARTIAL_REPLAY_NOT_SUPPORTED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_UUID_MISMATCH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_UUID_MISMATCH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_REPOS_ROOT_URL_MISMATCH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_REPOS_ROOT_URL_MISMATCH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SESSION_URL_MISMATCH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SESSION_URL_MISMATCH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_CANNOT_CREATE_TUNNEL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_CANNOT_CREATE_TUNNEL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_CANNOT_CREATE_SESSION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_CANNOT_CREATE_SESSION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_SOCK_INIT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_SOCK_INIT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_CREATING_REQUEST", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_CREATING_REQUEST)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_REQUEST_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_REQUEST_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_OPTIONS_REQ_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_OPTIONS_REQ_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_PROPS_NOT_FOUND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_PROPS_NOT_FOUND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_ALREADY_EXISTS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_ALREADY_EXISTS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_INVALID_CONFIG_VALUE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_INVALID_CONFIG_VALUE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_PATH_NOT_FOUND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_PATH_NOT_FOUND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_PROPPATCH_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_PROPPATCH_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_MALFORMED_DATA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_MALFORMED_DATA)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_RESPONSE_HEADER_BADNESS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_RESPONSE_HEADER_BADNESS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_RELOCATED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_RELOCATED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_CONN_TIMEOUT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_CONN_TIMEOUT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_FORBIDDEN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_FORBIDDEN)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_PRECONDITION_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_PRECONDITION_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_DAV_METHOD_NOT_ALLOWED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_DAV_METHOD_NOT_ALLOWED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_LOCAL_REPOS_NOT_FOUND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_LOCAL_REPOS_NOT_FOUND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_LOCAL_REPOS_OPEN_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_LOCAL_REPOS_OPEN_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_SVNDIFF_INVALID_HEADER", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_SVNDIFF_INVALID_HEADER)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_SVNDIFF_CORRUPT_WINDOW", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_SVNDIFF_CORRUPT_WINDOW)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_SVNDIFF_BACKWARD_VIEW", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_SVNDIFF_BACKWARD_VIEW)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_SVNDIFF_INVALID_OPS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_SVNDIFF_INVALID_OPS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_SVNDIFF_UNEXPECTED_END", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_SVNDIFF_UNEXPECTED_END)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_SVNDIFF_INVALID_COMPRESSED_DATA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_SVNDIFF_INVALID_COMPRESSED_DATA)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_APMOD_MISSING_PATH_TO_FS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_APMOD_MISSING_PATH_TO_FS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_APMOD_MALFORMED_URI", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_APMOD_MALFORMED_URI)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_APMOD_ACTIVITY_NOT_FOUND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_APMOD_ACTIVITY_NOT_FOUND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_APMOD_BAD_BASELINE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_APMOD_BAD_BASELINE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_APMOD_CONNECTION_ABORTED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_APMOD_CONNECTION_ABORTED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_VERSIONED_PATH_REQUIRED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_VERSIONED_PATH_REQUIRED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_RA_ACCESS_REQUIRED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_RA_ACCESS_REQUIRED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_BAD_REVISION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_BAD_REVISION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_DUPLICATE_COMMIT_URL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_DUPLICATE_COMMIT_URL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_IS_BINARY_FILE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_IS_BINARY_FILE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_INVALID_EXTERNALS_DESCRIPTION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_INVALID_EXTERNALS_DESCRIPTION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_MODIFIED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_MODIFIED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_IS_DIRECTORY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_IS_DIRECTORY)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_REVISION_RANGE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_REVISION_RANGE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_INVALID_RELOCATION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_INVALID_RELOCATION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_REVISION_AUTHOR_CONTAINS_NEWLINE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_REVISION_AUTHOR_CONTAINS_NEWLINE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_PROPERTY_NAME", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_PROPERTY_NAME)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_UNRELATED_RESOURCES", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_UNRELATED_RESOURCES)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_MISSING_LOCK_TOKEN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_MISSING_LOCK_TOKEN)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_MULTIPLE_SOURCES_DISALLOWED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_MULTIPLE_SOURCES_DISALLOWED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_NO_VERSIONED_PARENT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_NO_VERSIONED_PARENT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_NOT_READY_TO_MERGE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_NOT_READY_TO_MERGE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_FILE_EXTERNAL_OVERWRITE_VERSIONED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_FILE_EXTERNAL_OVERWRITE_VERSIONED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_PATCH_BAD_STRIP_COUNT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_PATCH_BAD_STRIP_COUNT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_CYCLE_DETECTED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_CYCLE_DETECTED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_MERGE_UPDATE_REQUIRED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_MERGE_UPDATE_REQUIRED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_INVALID_MERGEINFO_NO_MERGETRACKING", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_INVALID_MERGEINFO_NO_MERGETRACKING)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_NO_LOCK_TOKEN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_NO_LOCK_TOKEN)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_FORBIDDEN_BY_SERVER", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_FORBIDDEN_BY_SERVER)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CLIENT_CONFLICT_OPTION_NOT_APPLICABLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CLIENT_CONFLICT_OPTION_NOT_APPLICABLE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BASE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BASE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_PLUGIN_LOAD_FAILURE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_PLUGIN_LOAD_FAILURE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_MALFORMED_FILE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_MALFORMED_FILE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_INCOMPLETE_DATA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_INCOMPLETE_DATA)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_INCORRECT_PARAMS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_INCORRECT_PARAMS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_UNVERSIONED_RESOURCE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_UNVERSIONED_RESOURCE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_TEST_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_TEST_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_UNSUPPORTED_FEATURE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_UNSUPPORTED_FEATURE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_BAD_PROP_KIND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_BAD_PROP_KIND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ILLEGAL_TARGET", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ILLEGAL_TARGET)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_DELTA_MD5_CHECKSUM_ABSENT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_DELTA_MD5_CHECKSUM_ABSENT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_DIR_NOT_EMPTY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_DIR_NOT_EMPTY)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_EXTERNAL_PROGRAM", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_EXTERNAL_PROGRAM)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_SWIG_PY_EXCEPTION_SET", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_SWIG_PY_EXCEPTION_SET)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CHECKSUM_MISMATCH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CHECKSUM_MISMATCH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CANCELLED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CANCELLED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_INVALID_DIFF_OPTION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_INVALID_DIFF_OPTION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_PROPERTY_NOT_FOUND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_PROPERTY_NOT_FOUND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_NO_AUTH_FILE_PATH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_NO_AUTH_FILE_PATH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_VERSION_MISMATCH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_VERSION_MISMATCH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_MERGEINFO_PARSE_ERROR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_MERGEINFO_PARSE_ERROR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CEASE_INVOCATION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CEASE_INVOCATION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_REVNUM_PARSE_FAILURE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_REVNUM_PARSE_FAILURE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ITER_BREAK", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ITER_BREAK)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_UNKNOWN_CHANGELIST", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_UNKNOWN_CHANGELIST)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RESERVED_FILENAME_SPECIFIED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RESERVED_FILENAME_SPECIFIED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_UNKNOWN_CAPABILITY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_UNKNOWN_CAPABILITY)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_TEST_SKIPPED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_TEST_SKIPPED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_NO_APR_MEMCACHE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_NO_APR_MEMCACHE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ATOMIC_INIT_FAILURE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ATOMIC_INIT_FAILURE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_SQLITE_ERROR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_SQLITE_ERROR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_SQLITE_READONLY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_SQLITE_READONLY)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_SQLITE_UNSUPPORTED_SCHEMA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_SQLITE_UNSUPPORTED_SCHEMA)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_SQLITE_BUSY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_SQLITE_BUSY)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_SQLITE_RESETTING_FOR_ROLLBACK", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_SQLITE_RESETTING_FOR_ROLLBACK)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_SQLITE_CONSTRAINT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_SQLITE_CONSTRAINT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_TOO_MANY_MEMCACHED_SERVERS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_TOO_MANY_MEMCACHED_SERVERS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_MALFORMED_VERSION_STRING", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_MALFORMED_VERSION_STRING)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CORRUPTED_ATOMIC_STORAGE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CORRUPTED_ATOMIC_STORAGE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_UTF8PROC_ERROR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_UTF8PROC_ERROR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_UTF8_GLOB", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_UTF8_GLOB)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CORRUPT_PACKED_DATA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CORRUPT_PACKED_DATA)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_COMPOSED_ERROR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_COMPOSED_ERROR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_INVALID_INPUT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_INVALID_INPUT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_SQLITE_ROLLBACK_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_SQLITE_ROLLBACK_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_LZ4_COMPRESSION_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_LZ4_COMPRESSION_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_LZ4_DECOMPRESSION_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_LZ4_DECOMPRESSION_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CANONICALIZATION_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CANONICALIZATION_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CL_ARG_PARSING_ERROR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CL_ARG_PARSING_ERROR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CL_INSUFFICIENT_ARGS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CL_INSUFFICIENT_ARGS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CL_MUTUALLY_EXCLUSIVE_ARGS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CL_MUTUALLY_EXCLUSIVE_ARGS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CL_ADM_DIR_RESERVED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CL_ADM_DIR_RESERVED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CL_LOG_MESSAGE_IS_VERSIONED_FILE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CL_LOG_MESSAGE_IS_VERSIONED_FILE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CL_LOG_MESSAGE_IS_PATHNAME", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CL_LOG_MESSAGE_IS_PATHNAME)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CL_COMMIT_IN_ADDED_DIR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CL_COMMIT_IN_ADDED_DIR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CL_NO_EXTERNAL_EDITOR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CL_NO_EXTERNAL_EDITOR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CL_BAD_LOG_MESSAGE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CL_BAD_LOG_MESSAGE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CL_UNNECESSARY_LOG_MESSAGE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CL_UNNECESSARY_LOG_MESSAGE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CL_NO_EXTERNAL_MERGE_TOOL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CL_NO_EXTERNAL_MERGE_TOOL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CL_ERROR_PROCESSING_EXTERNALS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CL_ERROR_PROCESSING_EXTERNALS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_CL_REPOS_VERIFY_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_CL_REPOS_VERIFY_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SVN_CMD_ERR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SVN_CMD_ERR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SVN_UNKNOWN_CMD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SVN_UNKNOWN_CMD)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SVN_CONNECTION_CLOSED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SVN_CONNECTION_CLOSED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SVN_IO_ERROR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SVN_IO_ERROR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SVN_MALFORMED_DATA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SVN_MALFORMED_DATA)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SVN_REPOS_NOT_FOUND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SVN_REPOS_NOT_FOUND)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SVN_BAD_VERSION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SVN_BAD_VERSION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SVN_NO_MECHANISMS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SVN_NO_MECHANISMS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SVN_EDIT_ABORTED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SVN_EDIT_ABORTED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SVN_REQUEST_SIZE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SVN_REQUEST_SIZE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SVN_RESPONSE_SIZE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SVN_RESPONSE_SIZE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_AUTHN_CREDS_UNAVAILABLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_AUTHN_CREDS_UNAVAILABLE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_AUTHN_NO_PROVIDER", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_AUTHN_NO_PROVIDER)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_AUTHN_PROVIDERS_EXHAUSTED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_AUTHN_PROVIDERS_EXHAUSTED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_AUTHN_CREDS_NOT_SAVED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_AUTHN_CREDS_NOT_SAVED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_AUTHN_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_AUTHN_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_AUTHZ_ROOT_UNREADABLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_AUTHZ_ROOT_UNREADABLE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_AUTHZ_UNREADABLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_AUTHZ_UNREADABLE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_AUTHZ_PARTIALLY_READABLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_AUTHZ_PARTIALLY_READABLE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_AUTHZ_INVALID_CONFIG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_AUTHZ_INVALID_CONFIG)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_AUTHZ_UNWRITABLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_AUTHZ_UNWRITABLE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_DIFF_DATASOURCE_MODIFIED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_DIFF_DATASOURCE_MODIFIED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_DIFF_UNEXPECTED_DATA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_DIFF_UNEXPECTED_DATA)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SERF_SSPI_INITIALISATION_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SERF_SSPI_INITIALISATION_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SERF_SSL_CERT_UNTRUSTED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SERF_SSL_CERT_UNTRUSTED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SERF_GSSAPI_INITIALISATION_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SERF_GSSAPI_INITIALISATION_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SERF_WRAPPED_ERROR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SERF_WRAPPED_ERROR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_RA_SERF_STREAM_BUCKET_READ_ERROR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_RA_SERF_STREAM_BUCKET_READ_ERROR)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ASSERTION_FAIL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ASSERTION_FAIL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ASSERTION_ONLY_TRACING_LINKS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ASSERTION_ONLY_TRACING_LINKS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ASN1_OUT_OF_DATA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ASN1_OUT_OF_DATA)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ASN1_UNEXPECTED_TAG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ASN1_UNEXPECTED_TAG)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ASN1_INVALID_LENGTH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ASN1_INVALID_LENGTH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ASN1_LENGTH_MISMATCH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ASN1_LENGTH_MISMATCH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_ASN1_INVALID_DATA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_ASN1_INVALID_DATA)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_X509_FEATURE_UNAVAILABLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_X509_FEATURE_UNAVAILABLE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_X509_CERT_INVALID_PEM", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_X509_CERT_INVALID_PEM)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_X509_CERT_INVALID_FORMAT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_X509_CERT_INVALID_FORMAT)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_X509_CERT_INVALID_VERSION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_X509_CERT_INVALID_VERSION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_X509_CERT_INVALID_SERIAL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_X509_CERT_INVALID_SERIAL)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_X509_CERT_INVALID_ALG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_X509_CERT_INVALID_ALG)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_X509_CERT_INVALID_NAME", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_X509_CERT_INVALID_NAME)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_X509_CERT_INVALID_DATE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_X509_CERT_INVALID_DATE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_X509_CERT_INVALID_PUBKEY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_X509_CERT_INVALID_PUBKEY)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_X509_CERT_INVALID_SIGNATURE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_X509_CERT_INVALID_SIGNATURE)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_X509_CERT_INVALID_EXTENSIONS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_X509_CERT_INVALID_EXTENSIONS)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_X509_CERT_UNKNOWN_VERSION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_X509_CERT_UNKNOWN_VERSION)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_X509_CERT_UNKNOWN_PK_ALG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_X509_CERT_UNKNOWN_PK_ALG)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_X509_CERT_SIG_MISMATCH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_X509_CERT_SIG_MISMATCH)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_X509_CERT_VERIFY_FAILED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_X509_CERT_VERIFY_FAILED)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ERR_LAST", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(SVN_ERR_LAST)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_node_none", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_node_none)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_node_file", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_node_file)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_node_dir", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_node_dir)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_node_unknown", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_node_unknown)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_node_symlink", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_node_symlink)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_tristate_false", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_tristate_false)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_tristate_true", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_tristate_true)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_tristate_unknown", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_tristate_unknown)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_depth_unknown", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_depth_unknown)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_depth_exclude", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_depth_exclude)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_depth_empty", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_depth_empty)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_depth_files", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_depth_files)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_depth_immediates", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_depth_immediates)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_depth_infinity", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_depth_infinity)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_UNALIGNED_ACCESS_IS_OK", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "TRUE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(1)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "FALSE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REVNUM_T_FMT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("ld"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_nonrecursive", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_nonrecursive)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_recursive", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_recursive)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_DIRENT_KIND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00001)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_DIRENT_SIZE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00002)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_DIRENT_HAS_PROPS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00004)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_DIRENT_CREATED_REV", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00008)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_DIRENT_TIME", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00010)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_DIRENT_LAST_AUTHOR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00020)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_KEYWORD_MAX_LEN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(255)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_KEYWORD_REVISION_LONG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("LastChangedRevision"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_KEYWORD_REVISION_SHORT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Rev"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_KEYWORD_REVISION_MEDIUM", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Revision"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_KEYWORD_DATE_LONG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("LastChangedDate"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_KEYWORD_DATE_SHORT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Date"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_KEYWORD_AUTHOR_LONG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("LastChangedBy"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_KEYWORD_AUTHOR_SHORT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Author"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_KEYWORD_URL_LONG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("HeadURL"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_KEYWORD_URL_SHORT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("URL"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_KEYWORD_ID", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Id"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_KEYWORD_HEADER", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Header"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_STREAM_CHUNK_SIZE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(102400)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN__STREAM_CHUNK_SIZE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(16384)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_ALLOCATOR_RECOMMENDED_MAX_FREE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)((4096*1024))));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_VER_MAJOR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(1)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_VER_MINOR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(14)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_VER_PATCH", TRUE | 0x2 | GV_ADDMULTI);
- sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(2)));
+ sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(3)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_VER_MICRO", TRUE | 0x2 | GV_ADDMULTI);
- sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(2)));
+ sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(3)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_VER_LIBRARY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(1)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_VER_TAG", TRUE | 0x2 | GV_ADDMULTI);
- sv_setsv(sv, SWIG_FromCharPtr(" (r1899510)"));
+ sv_setsv(sv, SWIG_FromCharPtr(" (r1914484)"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_VER_NUMTAG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr(""));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_VER_REVISION", TRUE | 0x2 | GV_ADDMULTI);
- sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(1899510)));
+ sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(1914484)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_VER_NUM", TRUE | 0x2 | GV_ADDMULTI);
- sv_setsv(sv, SWIG_FromCharPtr("1.14.2"));
+ sv_setsv(sv, SWIG_FromCharPtr("1.14.3"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_VER_NUMBER", TRUE | 0x2 | GV_ADDMULTI);
- sv_setsv(sv, SWIG_FromCharPtr("1.14.2"));
+ sv_setsv(sv, SWIG_FromCharPtr("1.14.3"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_VERSION", TRUE | 0x2 | GV_ADDMULTI);
- sv_setsv(sv, SWIG_FromCharPtr("1.14.2 (r1899510)"));
+ sv_setsv(sv, SWIG_FromCharPtr("1.14.3 (r1914484)"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_prop_entry_kind", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_prop_entry_kind)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_prop_wc_kind", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_prop_wc_kind)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_prop_regular_kind", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_prop_regular_kind)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_PREFIX", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_BOOLEAN_TRUE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("*"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_MIME_TYPE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:mime-type"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_IGNORE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:ignore"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_EOL_STYLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:eol-style"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_KEYWORDS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:keywords"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_EXECUTABLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:executable"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_EXECUTABLE_VALUE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("*"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_NEEDS_LOCK", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:needs-lock"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_NEEDS_LOCK_VALUE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("*"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_SPECIAL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:special"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_SPECIAL_VALUE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("*"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_EXTERNALS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:externals"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_MERGEINFO", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:mergeinfo"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_INHERITABLE_AUTO_PROPS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auto-props"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_INHERITABLE_IGNORES", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:global-ignores"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_TEXT_TIME", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:text-time"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_OWNER", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:owner"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_GROUP", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:group"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_UNIX_MODE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:unix-mode"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_WC_PREFIX", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:wc:"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_ENTRY_PREFIX", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:entry:"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_ENTRY_COMMITTED_REV", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:entry:committed-rev"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_ENTRY_COMMITTED_DATE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:entry:committed-date"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_ENTRY_LAST_AUTHOR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:entry:last-author"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_ENTRY_UUID", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:entry:uuid"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_ENTRY_LOCK_TOKEN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:entry:lock-token"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_CUSTOM_PREFIX", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:custom:"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_REVISION_AUTHOR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:author"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_REVISION_LOG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:log"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_REVISION_DATE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:date"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_REVISION_ORIG_DATE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:original-date"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_REVISION_AUTOVERSIONED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:autoversioned"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVNSYNC_PROP_PREFIX", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:sync-"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVNSYNC_PROP_LOCK", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:sync-lock"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVNSYNC_PROP_FROM_URL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:sync-from-url"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVNSYNC_PROP_FROM_UUID", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:sync-from-uuid"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVNSYNC_PROP_LAST_MERGED_REV", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:sync-last-merged-rev"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVNSYNC_PROP_CURRENTLY_COPYING", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:sync-currently-copying"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_TXN_PREFIX", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:txn-"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_TXN_CLIENT_COMPAT_VERSION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:txn-client-compat-version"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_TXN_USER_AGENT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:txn-user-agent"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_PROP_REVISION_PREFIX", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:revision-"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_opt_revision_unspecified", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_opt_revision_unspecified)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_opt_revision_number", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_opt_revision_number)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_opt_revision_date", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_opt_revision_date)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_opt_revision_committed", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_opt_revision_committed)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_opt_revision_previous", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_opt_revision_previous)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_opt_revision_base", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_opt_revision_base)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_opt_revision_working", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_opt_revision_working)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_opt_revision_head", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_opt_revision_head)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_OPT_MAX_ALIASES", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(3)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_OPT_MAX_OPTIONS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(50)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_OPT_MAX_PARAGRAPHS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(100)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_OPT_FIRST_LONGOPT_ID", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(256)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_CRED_SIMPLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn.simple"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_CRED_USERNAME", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn.username"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_CRED_SSL_CLIENT_CERT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn.ssl.client-cert"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_CRED_SSL_CLIENT_CERT_PW", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn.ssl.client-passphrase"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_CRED_SSL_SERVER_TRUST", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn.ssl.server"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_SSL_NOTYETVALID", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00000001)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_SSL_EXPIRED", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00000002)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_SSL_CNMISMATCH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00000004)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_SSL_UNKNOWNCA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00000008)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_SSL_OTHER", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x40000000)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_PREFIX", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_DEFAULT_USERNAME", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:username"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_DEFAULT_PASSWORD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:password"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_NON_INTERACTIVE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:non-interactive"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_DONT_STORE_PASSWORDS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:dont-store-passwords"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_STORE_PLAINTEXT_PASSWORDS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:store-plaintext-passwords"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_DONT_STORE_SSL_CLIENT_CERT_PP", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:dont-store-ssl-client-cert-pp"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_STORE_SSL_CLIENT_CERT_PP_PLAINTEXT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:store-ssl-client-cert-pp-plaintext"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_NO_AUTH_CACHE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:no-auth-cache"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_SSL_SERVER_FAILURES", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:ssl:failures"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_SSL_SERVER_CERT_INFO", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:ssl:cert-info"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_CONFIG_CATEGORY_CONFIG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:config-category-config"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_CONFIG_CATEGORY_SERVERS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:config-category-servers"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_CONFIG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:config-category-servers"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_SERVER_GROUP", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:server-group"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_CONFIG_DIR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:auth:config-dir"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_GNOME_KEYRING_UNLOCK_PROMPT_FUNC", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("gnome-keyring-unlock-prompt-func"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_AUTH_PARAM_GNOME_KEYRING_UNLOCK_PROMPT_BATON", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("gnome-keyring-unlock-prompt-baton"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_CATEGORY_SERVERS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("servers"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_SECTION_GROUPS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("groups"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_SECTION_GLOBAL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("global"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_HTTP_PROXY_HOST", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("http-proxy-host"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_HTTP_PROXY_PORT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("http-proxy-port"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_HTTP_PROXY_USERNAME", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("http-proxy-username"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_HTTP_PROXY_PASSWORD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("http-proxy-password"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_HTTP_PROXY_EXCEPTIONS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("http-proxy-exceptions"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_HTTP_TIMEOUT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("http-timeout"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_HTTP_COMPRESSION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("http-compression"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_NEON_DEBUG_MASK", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("neon-debug-mask"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_HTTP_AUTH_TYPES", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("http-auth-types"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_SSL_AUTHORITY_FILES", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("ssl-authority-files"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_SSL_TRUST_DEFAULT_CA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("ssl-trust-default-ca"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_SSL_CLIENT_CERT_FILE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("ssl-client-cert-file"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_SSL_CLIENT_CERT_PASSWORD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("ssl-client-cert-password"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_SSL_PKCS11_PROVIDER", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("ssl-pkcs11-provider"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_HTTP_LIBRARY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("http-library"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_STORE_PASSWORDS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("store-passwords"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_STORE_PLAINTEXT_PASSWORDS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("store-plaintext-passwords"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_STORE_AUTH_CREDS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("store-auth-creds"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_STORE_SSL_CLIENT_CERT_PP", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("store-ssl-client-cert-pp"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_STORE_SSL_CLIENT_CERT_PP_PLAINTEXT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("store-ssl-client-cert-pp-plaintext"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_USERNAME", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("username"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_HTTP_BULK_UPDATES", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("http-bulk-updates"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_HTTP_MAX_CONNECTIONS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("http-max-connections"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_HTTP_CHUNKED_REQUESTS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("http-chunked-requests"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_SERF_LOG_COMPONENTS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("serf-log-components"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_SERF_LOG_LEVEL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("serf-log-level"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_CATEGORY_CONFIG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("config"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_SECTION_AUTH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("auth"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_PASSWORD_STORES", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("password-stores"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_KWALLET_WALLET", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("kwallet-wallet"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_KWALLET_SVN_APPLICATION_NAME_WITH_PID", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("kwallet-svn-application-name-with-pid"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_SSL_CLIENT_CERT_FILE_PROMPT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("ssl-client-cert-file-prompt"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_SECTION_HELPERS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("helpers"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_EDITOR_CMD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("editor-cmd"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_DIFF_CMD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("diff-cmd"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_DIFF_EXTENSIONS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("diff-extensions"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_DIFF3_CMD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("diff3-cmd"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_DIFF3_HAS_PROGRAM_ARG", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("diff3-has-program-arg"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_MERGE_TOOL_CMD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("merge-tool-cmd"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_SECTION_MISCELLANY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("miscellany"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_GLOBAL_IGNORES", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("global-ignores"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_LOG_ENCODING", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("log-encoding"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_USE_COMMIT_TIMES", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("use-commit-times"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_TEMPLATE_ROOT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("template-root"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_ENABLE_AUTO_PROPS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("enable-auto-props"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_ENABLE_MAGIC_FILE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("enable-magic-file"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_NO_UNLOCK", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("no-unlock"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_MIMETYPES_FILE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("mime-types-file"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_PRESERVED_CF_EXTS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("preserved-conflict-file-exts"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_INTERACTIVE_CONFLICTS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("interactive-conflicts"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_MEMORY_CACHE_SIZE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("memory-cache-size"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_DIFF_IGNORE_CONTENT_TYPE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("diff-ignore-content-type"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_SECTION_TUNNELS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("tunnels"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_SECTION_AUTO_PROPS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("auto-props"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_SECTION_WORKING_COPY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("working-copy"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_SQLITE_EXCLUSIVE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("exclusive-locking"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_SQLITE_EXCLUSIVE_CLIENTS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("exclusive-locking-clients"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_SQLITE_BUSY_TIMEOUT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("busy-timeout"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_SECTION_GENERAL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("general"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_ANON_ACCESS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("anon-access"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_AUTH_ACCESS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("auth-access"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_PASSWORD_DB", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("password-db"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_REALM", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("realm"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_AUTHZ_DB", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("authz-db"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_GROUPS_DB", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("groups-db"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_FORCE_USERNAME_CASE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("force-username-case"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_HOOKS_ENV", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("hooks-env"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_SECTION_SASL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("sasl"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_USE_SASL", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("use-sasl"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_MIN_SSF", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("min-encryption"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_OPTION_MAX_SSF", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("max-encryption"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_SECTION_USERS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("users"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG__DEFAULT_GLOBAL_IGNORES_LINE_1", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("*.o *.lo *.la *.al .libs *.so *.so.[0-9]* *.a *.pyc *.pyo __pycache__"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG__DEFAULT_GLOBAL_IGNORES_LINE_2", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("*.rej *~ #*# .#* .*.swp .DS_Store [Tt]humbs.db"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_DEFAULT_GLOBAL_IGNORES", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("*.o *.lo *.la *.al .libs *.so *.so.[0-9]* *.a *.pyc *.pyo __pycache__ *.rej *~ #*# .#* .*.swp .DS_Store [Tt]humbs.db"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_TRUE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("TRUE"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_FALSE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("FALSE"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_ASK", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("ASK"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_DEFAULT_OPTION_STORE_PASSWORDS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(1)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_DEFAULT_OPTION_STORE_PLAINTEXT_PASSWORDS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("ASK"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_DEFAULT_OPTION_STORE_AUTH_CREDS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(1)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_DEFAULT_OPTION_STORE_SSL_CLIENT_CERT_PP", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(1)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_DEFAULT_OPTION_STORE_SSL_CLIENT_CERT_PP_PLAINTEXT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("ASK"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_DEFAULT_OPTION_HTTP_MAX_CONNECTIONS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(4)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_REALMSTRING_KEY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("svn:realmstring"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_AUTHN_USERNAME_KEY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("username"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_AUTHN_PASSWORD_KEY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("password"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_AUTHN_PASSPHRASE_KEY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("passphrase"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_AUTHN_PASSTYPE_KEY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("passtype"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_AUTHN_ASCII_CERT_KEY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("ascii_cert"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CONFIG_AUTHN_FAILURES_KEY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("failures"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_MERGEINFO_NONINHERITABLE_STR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("*"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_mergeinfo_explicit", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_mergeinfo_explicit)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_mergeinfo_inherited", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_mergeinfo_inherited)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_mergeinfo_nearest_ancestor", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_mergeinfo_nearest_ancestor)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_io_file_del_none", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_io_file_del_none)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_io_file_del_on_close", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_io_file_del_on_close)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_io_file_del_on_pool_cleanup", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_io_file_del_on_pool_cleanup)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_checksum_md5", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_checksum_md5)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_checksum_sha1", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_checksum_sha1)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_checksum_fnv1a_32", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_checksum_fnv1a_32)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_checksum_fnv1a_32x4", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_checksum_fnv1a_32x4)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_datasource_original", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_datasource_original)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_datasource_modified", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_datasource_modified)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_datasource_latest", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_datasource_latest)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_datasource_ancestor", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_datasource_ancestor)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_conflict_display_modified_latest", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_conflict_display_modified_latest)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_conflict_display_resolved_modified_latest", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_conflict_display_resolved_modified_latest)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_conflict_display_modified_original_latest", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_conflict_display_modified_original_latest)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_conflict_display_modified", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_conflict_display_modified)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_conflict_display_latest", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_conflict_display_latest)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_conflict_display_only_conflicts", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_conflict_display_only_conflicts)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_file_ignore_space_none", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_file_ignore_space_none)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_file_ignore_space_change", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_file_ignore_space_change)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_file_ignore_space_all", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_file_ignore_space_all)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_op_unchanged", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_op_unchanged)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_op_added", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_op_added)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_op_deleted", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_op_deleted)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_op_copied", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_op_copied)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_op_moved", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_op_moved)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_diff_op_modified", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_diff_op_modified)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_NO_ERROR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0)));
SvREADONLY_on(sv);
diff --git a/subversion/bindings/swig/perl/native/svn_client.c b/subversion/bindings/swig/perl/native/svn_client.c
index 3067c1e..f1c6ecc 100644
--- a/subversion/bindings/swig/perl/native/svn_client.c
+++ b/subversion/bindings/swig/perl/native/svn_client.c
@@ -31322,7 +31322,7 @@ XS(_wrap_svn_client_proplist2) {
}
}
{
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = svn_swig_pl_convert_array(*arg1,
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = svn_swig_pl_convert_array(*arg1,
SWIGTYPE_p_svn_client_proplist_item_t); argvi++ /*@SWIG@*/
/*@SWIG@*/
;
@@ -31433,7 +31433,7 @@ XS(_wrap_svn_client_proplist) {
}
}
{
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = svn_swig_pl_convert_array(*arg1,
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = svn_swig_pl_convert_array(*arg1,
SWIGTYPE_p_svn_client_proplist_item_t); argvi++ /*@SWIG@*/
/*@SWIG@*/
;
@@ -42398,222 +42398,222 @@ XS(SWIG_init) {
SvREADONLY_on(sv);
}
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CLIENT_COMMIT_ITEM_ADD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x01)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CLIENT_COMMIT_ITEM_DELETE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x02)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CLIENT_COMMIT_ITEM_TEXT_MODS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x04)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CLIENT_COMMIT_ITEM_PROP_MODS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x08)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CLIENT_COMMIT_ITEM_IS_COPY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x10)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CLIENT_COMMIT_ITEM_LOCK_TOKEN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x20)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CLIENT_COMMIT_ITEM_MOVED_HERE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x40)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_diff_summarize_kind_normal", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_diff_summarize_kind_normal)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_diff_summarize_kind_added", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_diff_summarize_kind_added)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_diff_summarize_kind_modified", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_diff_summarize_kind_modified)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_diff_summarize_kind_deleted", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_diff_summarize_kind_deleted)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CLIENT_AUTH_USERNAME", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("username"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_CLIENT_AUTH_PASSWORD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("password"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_undefined", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_undefined)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_postpone", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_postpone)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_base_text", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_base_text)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_incoming_text", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_incoming_text)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_working_text", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_working_text)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_incoming_text_where_conflicted", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_incoming_text_where_conflicted)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_working_text_where_conflicted", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_working_text_where_conflicted)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_merged_text", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_merged_text)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_unspecified", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_unspecified)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_accept_current_wc_state", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_accept_current_wc_state)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_update_move_destination", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_update_move_destination)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_update_any_moved_away_children", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_update_any_moved_away_children)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_incoming_add_ignore", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_incoming_add_ignore)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_incoming_added_file_text_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_incoming_added_file_text_merge)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_incoming_added_file_replace_and_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_incoming_added_file_replace_and_merge)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_incoming_added_dir_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_incoming_added_dir_merge)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_incoming_added_dir_replace", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_incoming_added_dir_replace)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_incoming_added_dir_replace_and_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_incoming_added_dir_replace_and_merge)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_incoming_delete_ignore", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_incoming_delete_ignore)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_incoming_delete_accept", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_incoming_delete_accept)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_incoming_move_file_text_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_incoming_move_file_text_merge)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_incoming_move_dir_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_incoming_move_dir_merge)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_local_move_file_text_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_local_move_file_text_merge)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_local_move_dir_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_local_move_dir_merge)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_sibling_move_file_text_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_sibling_move_file_text_merge)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_sibling_move_dir_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_sibling_move_dir_merge)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_both_moved_file_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_both_moved_file_merge)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_both_moved_file_move_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_both_moved_file_move_merge)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_both_moved_dir_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_both_moved_dir_merge)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_client_conflict_option_both_moved_dir_move_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_client_conflict_option_both_moved_dir_move_merge)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SWIG_SVN_INFO_SIZE_UNKNOWN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_unsigned_SS_long SWIG_PERL_CALL_ARGS_1((unsigned long)(-1)));
SvREADONLY_on(sv);
diff --git a/subversion/bindings/swig/perl/native/svn_delta.c b/subversion/bindings/swig/perl/native/svn_delta.c
index aa059ba..7b9975d 100644
--- a/subversion/bindings/swig/perl/native/svn_delta.c
+++ b/subversion/bindings/swig/perl/native/svn_delta.c
@@ -3693,7 +3693,7 @@ XS(_wrap_svn_txdelta_send_stream) {
}
}
{
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = sv_2mortal(newSVpv(svn_md5_digest_to_cstring(arg4,
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = sv_2mortal(newSVpv(svn_md5_digest_to_cstring(arg4,
_global_pool),
0)); argvi++ /*@SWIG@*/
@@ -9557,32 +9557,32 @@ XS(SWIG_init) {
SvREADONLY_on(sv);
}
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_DELTA_COMPRESSION_LEVEL_NONE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_DELTA_COMPRESSION_LEVEL_MAX", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(9)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_DELTA_COMPRESSION_LEVEL_DEFAULT", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(5)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_txdelta_source", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_txdelta_source)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_txdelta_target", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_txdelta_target)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_txdelta_new", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_txdelta_new)));
SvREADONLY_on(sv);
diff --git a/subversion/bindings/swig/perl/native/svn_fs.c b/subversion/bindings/swig/perl/native/svn_fs.c
index 97fd248..74feb10 100644
--- a/subversion/bindings/swig/perl/native/svn_fs.c
+++ b/subversion/bindings/swig/perl/native/svn_fs.c
@@ -10920,7 +10920,7 @@ XS(_wrap_svn_fs_file_md5_checksum) {
}
}
{
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = sv_2mortal(newSVpv(svn_md5_digest_to_cstring(arg1,
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = sv_2mortal(newSVpv(svn_md5_digest_to_cstring(arg1,
_global_pool),
0)); argvi++ /*@SWIG@*/
@@ -16866,197 +16866,197 @@ XS(SWIG_init) {
SvREADONLY_on(sv);
}
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_TYPE_BDB", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("bdb"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_TYPE_FSFS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("fsfs"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_TYPE_FSX", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("fsx"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_BDB_TXN_NOSYNC", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("bdb-txn-nosync"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_BDB_LOG_AUTOREMOVE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("bdb-log-autoremove"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_FSFS_CACHE_DELTAS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("fsfs-cache-deltas"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_FSFS_CACHE_FULLTEXTS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("fsfs-cache-fulltexts"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_FSFS_CACHE_REVPROPS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("fsfs-cache-revprops"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_FSFS_CACHE_NS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("fsfs-cache-namespace"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_FSFS_CACHE_NODEPROPS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("fsfs-cache-nodeprops"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_FSFS_BLOCK_READ", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("fsfs-block-read"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_FSFS_SHARD_SIZE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("fsfs-shard-size"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_FSFS_LOG_ADDRESSING", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("fsfs-log-addressing"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_FS_TYPE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("fs-type"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_PRE_1_4_COMPATIBLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("pre-1.4-compatible"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_PRE_1_5_COMPATIBLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("pre-1.5-compatible"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_PRE_1_6_COMPATIBLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("pre-1.6-compatible"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_PRE_1_8_COMPATIBLE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("pre-1.8-compatible"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_COMPATIBLE_VERSION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("compatible-version"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_CONFIG_NO_FLUSH_TO_DISK", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("no-flush-to-disk"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_upgrade_pack_revprops", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_upgrade_pack_revprops)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_upgrade_cleanup_revprops", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_upgrade_cleanup_revprops)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_upgrade_format_bumped", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_upgrade_format_bumped)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_node_unrelated", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_node_unrelated)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_node_unchanged", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_node_unchanged)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_node_common_ancestor", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_node_common_ancestor)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_TXN_CHECK_OOD", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00001)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_TXN_CHECK_LOCKS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00002)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_FS_TXN_CLIENT_DATE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00004)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_path_change_modify", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_path_change_modify)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_path_change_add", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_path_change_add)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_path_change_delete", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_path_change_delete)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_path_change_replace", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_path_change_replace)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_path_change_reset", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_path_change_reset)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_pack_notify_start", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_pack_notify_start)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_pack_notify_end", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_pack_notify_end)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_pack_notify_start_revprop", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_pack_notify_start_revprop)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_pack_notify_end_revprop", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_pack_notify_end_revprop)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_fs_pack_notify_noop", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_fs_pack_notify_noop)));
SvREADONLY_on(sv);
diff --git a/subversion/bindings/swig/perl/native/svn_ra.c b/subversion/bindings/swig/perl/native/svn_ra.c
index b1e0d4b..f80ca50 100644
--- a/subversion/bindings/swig/perl/native/svn_ra.c
+++ b/subversion/bindings/swig/perl/native/svn_ra.c
@@ -18875,57 +18875,57 @@ XS(SWIG_init) {
SvREADONLY_on(sv);
}
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_RA_CAPABILITY_DEPTH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("depth"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_RA_CAPABILITY_MERGEINFO", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("mergeinfo"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_RA_CAPABILITY_LOG_REVPROPS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("log-revprops"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_RA_CAPABILITY_PARTIAL_REPLAY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("partial-replay"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_RA_CAPABILITY_COMMIT_REVPROPS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("commit-revprops"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_RA_CAPABILITY_ATOMIC_REVPROPS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("atomic-revprops"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_RA_CAPABILITY_INHERITED_PROPS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("inherited-props"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_RA_CAPABILITY_EPHEMERAL_TXNPROPS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("ephemeral-txnprops"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_RA_CAPABILITY_GET_FILE_REVS_REVERSE", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("get-file-revs-reversed"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_RA_CAPABILITY_LIST", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("list"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_RA_ABI_VERSION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(2)));
SvREADONLY_on(sv);
diff --git a/subversion/bindings/swig/perl/native/svn_repos.c b/subversion/bindings/swig/perl/native/svn_repos.c
index 645cb47..07c2eae 100644
--- a/subversion/bindings/swig/perl/native/svn_repos.c
+++ b/subversion/bindings/swig/perl/native/svn_repos.c
@@ -23923,357 +23923,357 @@ XS(SWIG_init) {
SvREADONLY_on(sv);
}
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_node_action_change", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_node_action_change)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_node_action_add", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_node_action_add)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_node_action_delete", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_node_action_delete)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_node_action_replace", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_node_action_replace)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_authz_none", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_authz_none)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_authz_read", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_authz_read)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_authz_write", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_authz_write)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_authz_recursive", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_authz_recursive)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_warning", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_warning)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_dump_rev_end", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_dump_rev_end)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_verify_rev_end", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_verify_rev_end)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_dump_end", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_dump_end)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_verify_end", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_verify_end)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_pack_shard_start", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_pack_shard_start)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_pack_shard_end", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_pack_shard_end)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_pack_shard_start_revprop", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_pack_shard_start_revprop)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_pack_shard_end_revprop", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_pack_shard_end_revprop)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_load_txn_start", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_load_txn_start)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_load_txn_committed", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_load_txn_committed)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_load_node_start", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_load_node_start)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_load_node_done", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_load_node_done)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_load_copied_node", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_load_copied_node)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_load_normalized_mergeinfo", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_load_normalized_mergeinfo)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_mutex_acquired", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_mutex_acquired)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_recover_start", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_recover_start)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_upgrade_start", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_upgrade_start)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_load_skipped_rev", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_load_skipped_rev)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_verify_rev_structure", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_verify_rev_structure)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_pack_revprops", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_pack_revprops)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_cleanup_revprops", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_cleanup_revprops)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_format_bumped", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_format_bumped)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_hotcopy_rev_range", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_hotcopy_rev_range)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_pack_noop", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_pack_noop)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_load_revprop_set", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_load_revprop_set)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_warning_found_old_reference", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_warning_found_old_reference)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_warning_found_old_mergeinfo", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_warning_found_old_mergeinfo)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_warning_invalid_fspath", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_warning_invalid_fspath)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_warning_name_collision", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_warning_name_collision)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_warning_mergeinfo_collision", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_warning_mergeinfo_collision)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_notify_warning_invalid_mergeinfo", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_notify_warning_invalid_mergeinfo)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_CAPABILITY_MERGEINFO", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("mergeinfo"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_MAGIC_HEADER", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("SVN-fs-dump-format-version"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_FORMAT_VERSION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(3)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_FORMAT_VERSION_DELTAS", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(3)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_UUID", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("UUID"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_CONTENT_LENGTH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Content-length"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_REVISION_NUMBER", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Revision-number"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_NODE_PATH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Node-path"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_NODE_KIND", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Node-kind"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_NODE_ACTION", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Node-action"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_NODE_COPYFROM_PATH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Node-copyfrom-path"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_NODE_COPYFROM_REV", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Node-copyfrom-rev"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_TEXT_COPY_SOURCE_MD5", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Text-copy-source-md5"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_TEXT_COPY_SOURCE_SHA1", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Text-copy-source-sha1"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_TEXT_COPY_SOURCE_CHECKSUM", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Text-copy-source-md5"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_TEXT_CONTENT_MD5", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Text-content-md5"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_TEXT_CONTENT_SHA1", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Text-content-sha1"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_TEXT_CONTENT_CHECKSUM", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Text-content-md5"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_PROP_CONTENT_LENGTH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Prop-content-length"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_TEXT_CONTENT_LENGTH", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Text-content-length"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_PROP_DELTA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Prop-delta"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_TEXT_DELTA", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Text-delta"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_TEXT_DELTA_BASE_MD5", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Text-delta-base-md5"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_TEXT_DELTA_BASE_SHA1", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Text-delta-base-sha1"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_REPOS_DUMPFILE_TEXT_DELTA_BASE_CHECKSUM", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr("Text-delta-base-md5"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_load_uuid_default", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_load_uuid_default)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_load_uuid_ignore", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_load_uuid_ignore)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_load_uuid_force", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_load_uuid_force)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_revision_access_none", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_revision_access_none)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_revision_access_partial", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_revision_access_partial)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_repos_revision_access_full", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_repos_revision_access_full)));
SvREADONLY_on(sv);
diff --git a/subversion/bindings/swig/perl/native/svn_wc.c b/subversion/bindings/swig/perl/native/svn_wc.c
index c403d77..83e8cd7 100644
--- a/subversion/bindings/swig/perl/native/svn_wc.c
+++ b/subversion/bindings/swig/perl/native/svn_wc.c
@@ -37889,7 +37889,7 @@ XS(_wrap_svn_wc_transmit_text_deltas2) {
}
}
{
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = sv_2mortal(newSVpv(svn_md5_digest_to_cstring(arg2,
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,59,%append_output@*/ if (argvi >= items) EXTEND(sp,1); /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,56,%set_output@*/ ST(argvi) = sv_2mortal(newSVpv(svn_md5_digest_to_cstring(arg2,
_global_pool),
0)); argvi++ /*@SWIG@*/
@@ -47834,802 +47834,802 @@ XS(SWIG_init) {
SvREADONLY_on(sv);
}
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_WC_TRANSLATE_FROM_NF", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00000000)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_WC_TRANSLATE_TO_NF", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00000001)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_WC_TRANSLATE_FORCE_EOL_REPAIR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00000002)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_WC_TRANSLATE_NO_OUTPUT_CLEANUP", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00000004)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_WC_TRANSLATE_FORCE_COPY", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00000008)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_WC_TRANSLATE_USE_GLOBAL_TMP", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(0x00000010)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_WC_ADM_DIR_NAME", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr(".svn"));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_add", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_add)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_copy", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_copy)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_delete", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_delete)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_restore", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_restore)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_revert", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_revert)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_failed_revert", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_failed_revert)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_resolved", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_resolved)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_skip", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_skip)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_update_delete", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_update_delete)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_update_add", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_update_add)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_update_update", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_update_update)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_update_completed", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_update_completed)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_update_external", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_update_external)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_status_completed", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_status_completed)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_status_external", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_status_external)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_commit_modified", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_commit_modified)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_commit_added", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_commit_added)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_commit_deleted", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_commit_deleted)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_commit_replaced", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_commit_replaced)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_commit_postfix_txdelta", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_commit_postfix_txdelta)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_blame_revision", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_blame_revision)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_locked", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_locked)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_unlocked", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_unlocked)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_failed_lock", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_failed_lock)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_failed_unlock", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_failed_unlock)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_exists", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_exists)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_changelist_set", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_changelist_set)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_changelist_clear", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_changelist_clear)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_changelist_moved", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_changelist_moved)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_merge_begin", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_merge_begin)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_foreign_merge_begin", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_foreign_merge_begin)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_update_replace", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_update_replace)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_property_added", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_property_added)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_property_modified", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_property_modified)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_property_deleted", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_property_deleted)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_property_deleted_nonexistent", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_property_deleted_nonexistent)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_revprop_set", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_revprop_set)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_revprop_deleted", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_revprop_deleted)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_merge_completed", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_merge_completed)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_tree_conflict", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_tree_conflict)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_failed_external", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_failed_external)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_update_started", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_update_started)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_update_skip_obstruction", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_update_skip_obstruction)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_update_skip_working_only", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_update_skip_working_only)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_update_skip_access_denied", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_update_skip_access_denied)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_update_external_removed", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_update_external_removed)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_update_shadowed_add", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_update_shadowed_add)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_update_shadowed_update", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_update_shadowed_update)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_update_shadowed_delete", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_update_shadowed_delete)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_merge_record_info", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_merge_record_info)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_upgraded_path", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_upgraded_path)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_merge_record_info_begin", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_merge_record_info_begin)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_merge_elide_info", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_merge_elide_info)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_patch", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_patch)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_patch_applied_hunk", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_patch_applied_hunk)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_patch_rejected_hunk", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_patch_rejected_hunk)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_patch_hunk_already_applied", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_patch_hunk_already_applied)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_commit_copied", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_commit_copied)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_commit_copied_replaced", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_commit_copied_replaced)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_url_redirect", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_url_redirect)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_path_nonexistent", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_path_nonexistent)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_exclude", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_exclude)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_failed_conflict", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_failed_conflict)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_failed_missing", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_failed_missing)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_failed_out_of_date", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_failed_out_of_date)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_failed_no_parent", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_failed_no_parent)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_failed_locked", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_failed_locked)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_failed_forbidden_by_server", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_failed_forbidden_by_server)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_skip_conflicted", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_skip_conflicted)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_update_broken_lock", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_update_broken_lock)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_failed_obstruction", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_failed_obstruction)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_conflict_resolver_starting", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_conflict_resolver_starting)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_conflict_resolver_done", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_conflict_resolver_done)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_left_local_modifications", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_left_local_modifications)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_foreign_copy_begin", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_foreign_copy_begin)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_move_broken", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_move_broken)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_cleanup_external", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_cleanup_external)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_failed_requires_target", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_failed_requires_target)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_info_external", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_info_external)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_commit_finalizing", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_commit_finalizing)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_resolved_text", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_resolved_text)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_resolved_prop", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_resolved_prop)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_resolved_tree", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_resolved_tree)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_begin_search_tree_conflict_details", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_begin_search_tree_conflict_details)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_tree_conflict_details_progress", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_tree_conflict_details_progress)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_end_search_tree_conflict_details", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_end_search_tree_conflict_details)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_state_inapplicable", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_state_inapplicable)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_state_unknown", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_state_unknown)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_state_unchanged", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_state_unchanged)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_state_missing", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_state_missing)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_state_obstructed", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_state_obstructed)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_state_changed", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_state_changed)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_state_merged", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_state_merged)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_state_conflicted", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_state_conflicted)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_state_source_missing", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_state_source_missing)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_lock_state_inapplicable", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_lock_state_inapplicable)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_lock_state_unknown", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_lock_state_unknown)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_lock_state_unchanged", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_lock_state_unchanged)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_lock_state_locked", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_lock_state_locked)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_notify_lock_state_unlocked", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_notify_lock_state_unlocked)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_action_edit", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_action_edit)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_action_add", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_action_add)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_action_delete", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_action_delete)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_action_replace", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_action_replace)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_reason_edited", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_reason_edited)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_reason_obstructed", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_reason_obstructed)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_reason_deleted", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_reason_deleted)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_reason_missing", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_reason_missing)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_reason_unversioned", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_reason_unversioned)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_reason_added", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_reason_added)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_reason_replaced", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_reason_replaced)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_reason_moved_away", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_reason_moved_away)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_reason_moved_here", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_reason_moved_here)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_kind_text", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_kind_text)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_kind_property", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_kind_property)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_kind_tree", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_kind_tree)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_operation_none", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_operation_none)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_operation_update", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_operation_update)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_operation_switch", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_operation_switch)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_operation_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_operation_merge)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_choose_undefined", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_choose_undefined)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_choose_postpone", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_choose_postpone)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_choose_base", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_choose_base)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_choose_theirs_full", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_choose_theirs_full)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_choose_mine_full", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_choose_mine_full)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_choose_theirs_conflict", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_choose_theirs_conflict)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_choose_mine_conflict", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_choose_mine_conflict)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_choose_merged", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_choose_merged)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_conflict_choose_unspecified", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_conflict_choose_unspecified)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_schedule_normal", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_schedule_normal)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_schedule_add", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_schedule_add)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_schedule_delete", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_schedule_delete)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_schedule_replace", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_schedule_replace)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_WC_ENTRY_WORKING_SIZE_UNKNOWN", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)((-1))));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "SVN_WC_ENTRY_THIS_DIR", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_FromCharPtr(""));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_status_none", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_status_none)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_status_unversioned", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_status_unversioned)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_status_normal", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_status_normal)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_status_added", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_status_added)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_status_missing", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_status_missing)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_status_deleted", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_status_deleted)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_status_replaced", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_status_replaced)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_status_modified", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_status_modified)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_status_merged", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_status_merged)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_status_conflicted", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_status_conflicted)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_status_ignored", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_status_ignored)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_status_obstructed", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_status_obstructed)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_status_external", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_status_external)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_status_incomplete", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_status_incomplete)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_merge_unchanged", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_merge_unchanged)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_merge_merged", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_merge_merged)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_merge_conflict", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_merge_conflict)));
SvREADONLY_on(sv);
} while(0) /*@SWIG@*/;
- /*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
+ /*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/perl5/perltypemaps.swg,65,%set_constant@*/ do {
SV *sv = get_sv((char*) SWIG_prefix "svn_wc_merge_no_merge", TRUE | 0x2 | GV_ADDMULTI);
sv_setsv(sv, SWIG_From_int SWIG_PERL_CALL_ARGS_1((int)(svn_wc_merge_no_merge)));
SvREADONLY_on(sv);
diff --git a/subversion/bindings/swig/python/core.c b/subversion/bindings/swig/python/core.c
index d1d8e4d..a572dce 100644
--- a/subversion/bindings/swig/python/core.c
+++ b/subversion/bindings/swig/python/core.c
@@ -40647,15 +40647,15 @@ SWIG_init(void) {
SWIG_Python_SetConstant(d, "SVN_ALLOCATOR_RECOMMENDED_MAX_FREE",SWIG_From_long((long)((4096*1024))));
SWIG_Python_SetConstant(d, "SVN_VER_MAJOR",SWIG_From_long((long)(1)));
SWIG_Python_SetConstant(d, "SVN_VER_MINOR",SWIG_From_long((long)(14)));
- SWIG_Python_SetConstant(d, "SVN_VER_PATCH",SWIG_From_long((long)(2)));
- SWIG_Python_SetConstant(d, "SVN_VER_MICRO",SWIG_From_long((long)(2)));
+ SWIG_Python_SetConstant(d, "SVN_VER_PATCH",SWIG_From_long((long)(3)));
+ SWIG_Python_SetConstant(d, "SVN_VER_MICRO",SWIG_From_long((long)(3)));
SWIG_Python_SetConstant(d, "SVN_VER_LIBRARY",SWIG_From_long((long)(1)));
- SWIG_Python_SetConstant(d, "SVN_VER_TAG",SWIG_FromCharPtr(" (r1899510)"));
+ SWIG_Python_SetConstant(d, "SVN_VER_TAG",SWIG_FromCharPtr(" (r1914484)"));
SWIG_Python_SetConstant(d, "SVN_VER_NUMTAG",SWIG_FromCharPtr(""));
- SWIG_Python_SetConstant(d, "SVN_VER_REVISION",SWIG_From_long((long)(1899510)));
- SWIG_Python_SetConstant(d, "SVN_VER_NUM",SWIG_FromCharPtr("1.14.2"));
- SWIG_Python_SetConstant(d, "SVN_VER_NUMBER",SWIG_FromCharPtr("1.14.2"));
- SWIG_Python_SetConstant(d, "SVN_VERSION",SWIG_FromCharPtr("1.14.2 (r1899510)"));
+ SWIG_Python_SetConstant(d, "SVN_VER_REVISION",SWIG_From_long((long)(1914484)));
+ SWIG_Python_SetConstant(d, "SVN_VER_NUM",SWIG_FromCharPtr("1.14.3"));
+ SWIG_Python_SetConstant(d, "SVN_VER_NUMBER",SWIG_FromCharPtr("1.14.3"));
+ SWIG_Python_SetConstant(d, "SVN_VERSION",SWIG_FromCharPtr("1.14.3 (r1914484)"));
SWIG_Python_SetConstant(d, "svn_prop_entry_kind",SWIG_From_long((long)(svn_prop_entry_kind)));
SWIG_Python_SetConstant(d, "svn_prop_wc_kind",SWIG_From_long((long)(svn_prop_wc_kind)));
SWIG_Python_SetConstant(d, "svn_prop_regular_kind",SWIG_From_long((long)(svn_prop_regular_kind)));
diff --git a/subversion/bindings/swig/python/delta.py b/subversion/bindings/swig/python/delta.py
index 5fe38d0..ccab5ac 100644
--- a/subversion/bindings/swig/python/delta.py
+++ b/subversion/bindings/swig/python/delta.py
@@ -140,8 +140,8 @@ _set_instance_attr = _swig_setattr_nondynamic_method(object.__setattr__)
import libsvn.core
-def svn_swig_py_make_editor(*args) -> "svn_delta_editor_t const **, void **":
- """svn_swig_py_make_editor(PyObject * py_editor, apr_pool_t pool)"""
+def svn_swig_py_make_editor(*args) -> "svn_delta_editor_t const **":
+ """svn_swig_py_make_editor(apr_pool_t pool)"""
return _delta.svn_swig_py_make_editor(*args)
SVN_DELTA_COMPRESSION_LEVEL_NONE = _delta.SVN_DELTA_COMPRESSION_LEVEL_NONE
SVN_DELTA_COMPRESSION_LEVEL_MAX = _delta.SVN_DELTA_COMPRESSION_LEVEL_MAX
@@ -1672,6 +1672,49 @@ svn_file_rev_handler_old_t_swigregister = _delta.svn_file_rev_handler_old_t_swig
svn_file_rev_handler_old_t_swigregister(svn_file_rev_handler_old_t)
+# Baton container class for editor/parse_fns3 batons and their decendants.
+class _ItemBaton:
+ def __init__(self, editor, pool, baton=None):
+ self.pool = pool if pool else libsvn.core.svn_pool_create()
+ self.baton = baton
+ self.editor = editor
+
+ def get_ancestor(self):
+ raise NotImplementedError
+
+ def make_decendant(self, pool, baton=None):
+ return _DecBaton(self, pool, baton)
+
+
+class _DecBaton(_ItemBaton):
+ def __init__(self, parent, pool, baton=None):
+ import weakref
+ _ItemBaton.__init__(self, parent.editor, pool, baton)
+ self._anc = weakref.ref(parent.get_ancestor())
+ self._anc().hold_baton(self)
+
+ def get_ancestor(self):
+ return self._anc()
+
+ def release_self(self):
+ self._anc().release_baton(self)
+
+
+class _AncBaton(_ItemBaton):
+ def __init__(self, editor, pool, baton=None):
+ _ItemBaton.__init__(self, editor, pool, baton)
+ self._dec = {} # hold decendant batons.
+
+ def get_ancestor(self):
+ return self
+
+ def hold_baton(self, baton):
+ self._dec[id(baton)] = baton
+
+ def release_baton(self, baton):
+ del self._dec[id(baton)]
+
+
# This function is for backwards compatibility only.
# Use svn_txdelta_window_t.ops instead.
svn_txdelta_window_t_ops_get = svn_txdelta_window_t._ops_get
diff --git a/subversion/bindings/swig/python/libsvn_swig_py/swigutil_py.c b/subversion/bindings/swig/python/libsvn_swig_py/swigutil_py.c
index bdb2b1d..f957d05 100644
--- a/subversion/bindings/swig/python/libsvn_swig_py/swigutil_py.c
+++ b/subversion/bindings/swig/python/libsvn_swig_py/swigutil_py.c
@@ -1762,44 +1762,97 @@ static svn_error_t *type_conversion_error(const char *datatype)
/*** Editor Wrapping ***/
-/* this baton is used for the editor, directory, and file batons. */
-typedef struct item_baton {
- PyObject *editor; /* the editor handling the callbacks */
- PyObject *baton; /* the dir/file baton (or NULL for edit baton) */
- apr_pool_t *pool; /* top-level pool */
-} item_baton;
+static PyObject *
+make_baton(apr_pool_t *pool, PyObject *parent, PyObject *baton)
+{
+ PyObject *newb;
-static item_baton *make_baton(apr_pool_t *pool,
- PyObject *editor,
- PyObject *baton)
+ newb = PyObject_CallMethod(parent, "make_decendant", "O&O",
+ make_ob_pool, pool, baton);
+ /* We always borrow the reference in ancestor's dict during the C API
+ processing, so that we never leak the reference even the API aborted
+ by some error */
+ Py_XDECREF(newb);
+ return newb;
+}
+
+/* Get 'editor' and 'baton' from _ItemBaton instance. The caller
+ should be within a Python thread lock. */
+static svn_error_t *
+unwrap_item_baton(PyObject **editor, PyObject **baton, PyObject *item_baton)
{
- item_baton *newb = apr_palloc(pool, sizeof(*newb));
+ svn_error_t *err;
+
+ if ((*editor = PyObject_GetAttrString(item_baton, "editor")) == NULL)
+ {
+ err = callback_exception_error();
+ *baton = NULL;
+ goto finished;
+ }
+ if ((*baton = PyObject_GetAttrString(item_baton, "baton")) == NULL)
+ {
+ Py_CLEAR(*editor);
+ err = callback_exception_error();
+ goto finished;
+ }
+ err = SVN_NO_ERROR;
+ finished:
+ Py_XDECREF(*editor);
+ Py_XDECREF(*baton);
+ return err;
+}
- /* Note: We steal the caller's reference to 'baton'. */
- Py_INCREF(editor);
- newb->editor = editor;
- newb->baton = baton;
- newb->pool = pool;
+/* Get 'editor', 'baton', 'pool' from _ItemBaton instance. The caller
+ should be within a Python thread lock. */
+static svn_error_t *
+unwrap_item_baton_with_pool(PyObject **editor, PyObject **baton,
+ PyObject **py_pool, PyObject *item_baton)
+{
+ svn_error_t *err;
- return newb;
+ if ((err = unwrap_item_baton(editor, baton, item_baton)) != SVN_NO_ERROR)
+ {
+ *py_pool = NULL;
+ goto finished;
+ }
+ if ((*py_pool = PyObject_GetAttrString(item_baton, "pool")) == NULL)
+ {
+ err = callback_exception_error();
+ *editor = NULL;
+ *baton = NULL;
+ goto finished;
+ }
+ err = SVN_NO_ERROR;
+ finished:
+ Py_XDECREF(*py_pool);
+ return err;
}
-static svn_error_t *close_baton(void *baton,
- const char *method)
+static svn_error_t *
+close_baton(void *baton, const char *method, svn_boolean_t without_item)
{
- item_baton *ib = baton;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = baton;
PyObject *result;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
+ if (without_item)
+ {
+ baton_item = NULL;
+ }
/* If there is no baton object, then it is an edit_baton, and we should
not bother to pass an object. Note that we still shove a NULL onto
the stack, but the format specified just won't reference it. */
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)method,
- ib->baton ? (char *)"(O)" : NULL,
- ib->baton)) == NULL)
+ if ((result = PyObject_CallMethod(editor, (char *)method,
+ baton_item ? (char *)"(O)" : NULL,
+ baton_item)) == NULL)
{
err = callback_exception_error();
goto finished;
@@ -1808,19 +1861,24 @@ static svn_error_t *close_baton(void *baton,
/* there is no return value, so just toss this object (probably Py_None) */
Py_DECREF(result);
- /* Release the editor object */
- Py_DECREF(ib->editor);
-
- /* We're now done with the baton. Since there isn't really a free, all
- we need to do is note that its objects are no longer referenced by
- the baton. */
- Py_XDECREF(ib->baton);
-
-#ifdef SVN_DEBUG
- ib->editor = ib->baton = NULL;
-#endif
-
- err = SVN_NO_ERROR;
+ /* We're now done with the baton. Release it from ancestor's dict */
+ if (PyObject_HasAttrString(ib, "release_self"))
+ {
+ /* Get reference for ib, because following function call remove
+ ib object from ancestor's dict, which we borrow the reference */
+ Py_INCREF(ib);
+ result = PyObject_CallMethod(ib, "release_self", NULL, NULL);
+ /* Now we can release the reference safely */
+ Py_DECREF(ib);
+ if (result == NULL)
+ {
+ err = callback_exception_error();
+ goto finished;
+ }
+ /* there is no return value, so just toss this object
+ (probably Py_None) */
+ Py_DECREF(result);
+ }
finished:
svn_swig_py_release_py_lock();
@@ -1831,14 +1889,19 @@ static svn_error_t *set_target_revision(void *edit_baton,
svn_revnum_t target_revision,
apr_pool_t *pool)
{
- item_baton *ib = edit_baton;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = edit_baton;
PyObject *result;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"set_target_revision",
+ if ((result = PyObject_CallMethod(editor, (char *)"set_target_revision",
(char *)"l", target_revision)) == NULL)
{
err = callback_exception_error();
@@ -1859,14 +1922,19 @@ static svn_error_t *open_root(void *edit_baton,
apr_pool_t *dir_pool,
void **root_baton)
{
- item_baton *ib = edit_baton;
- PyObject *result;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = edit_baton;
+ PyObject *result = NULL;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"open_root",
+ if ((result = PyObject_CallMethod(editor, (char *)"open_root",
(char *)"lO&", base_revision,
make_ob_pool, dir_pool)) == NULL)
{
@@ -1874,11 +1942,15 @@ static svn_error_t *open_root(void *edit_baton,
goto finished;
}
- /* make_baton takes our 'result' reference */
- *root_baton = make_baton(dir_pool, ib->editor, result);
+ if ((*root_baton = make_baton(dir_pool, ib, result)) == NULL)
+ {
+ err = callback_exception_error();
+ goto finished;
+ }
err = SVN_NO_ERROR;
finished:
+ Py_XDECREF(result);
svn_swig_py_release_py_lock();
return err;
}
@@ -1888,16 +1960,21 @@ static svn_error_t *delete_entry(const char *path,
void *parent_baton,
apr_pool_t *pool)
{
- item_baton *ib = parent_baton;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = parent_baton;
PyObject *result;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"delete_entry",
+ if ((result = PyObject_CallMethod(editor, (char *)"delete_entry",
(char *)SVN_SWIG_BYTES_FMT "lOO&",
- path, revision, ib->baton,
+ path, revision, baton_item,
make_ob_pool, pool)) == NULL)
{
err = callback_exception_error();
@@ -1920,20 +1997,25 @@ static svn_error_t *add_directory(const char *path,
apr_pool_t *dir_pool,
void **child_baton)
{
- item_baton *ib = parent_baton;
- PyObject *result;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = parent_baton;
+ PyObject *result = NULL;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"add_directory",
+ if ((result = PyObject_CallMethod(editor, (char *)"add_directory",
#if IS_PY3
(char *)"yOylO&",
#else
(char *)"sOslO&",
#endif
- path, ib->baton,
+ path, baton_item,
copyfrom_path, copyfrom_revision,
make_ob_pool, dir_pool)) == NULL)
{
@@ -1941,11 +2023,15 @@ static svn_error_t *add_directory(const char *path,
goto finished;
}
- /* make_baton takes our 'result' reference */
- *child_baton = make_baton(dir_pool, ib->editor, result);
+ if ((*child_baton = make_baton(dir_pool, ib, result)) == NULL)
+ {
+ err = callback_exception_error();
+ goto finished;
+ }
err = SVN_NO_ERROR;
finished:
+ Py_XDECREF(result);
svn_swig_py_release_py_lock();
return err;
}
@@ -1956,27 +2042,36 @@ static svn_error_t *open_directory(const char *path,
apr_pool_t *dir_pool,
void **child_baton)
{
- item_baton *ib = parent_baton;
- PyObject *result;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = parent_baton;
+ PyObject *result = NULL;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"open_directory",
+ if ((result = PyObject_CallMethod(editor, (char *)"open_directory",
(char *)SVN_SWIG_BYTES_FMT "OlO&",
- path, ib->baton, base_revision,
+ path, baton_item, base_revision,
make_ob_pool, dir_pool)) == NULL)
{
err = callback_exception_error();
goto finished;
}
- /* make_baton takes our 'result' reference */
- *child_baton = make_baton(dir_pool, ib->editor, result);
+ if ((*child_baton = make_baton(dir_pool, ib, result)) == NULL)
+ {
+ err = callback_exception_error();
+ goto finished;
+ }
err = SVN_NO_ERROR;
finished:
+ Py_XDECREF(result);
svn_swig_py_release_py_lock();
return err;
}
@@ -1986,20 +2081,25 @@ static svn_error_t *change_dir_prop(void *dir_baton,
const svn_string_t *value,
apr_pool_t *pool)
{
- item_baton *ib = dir_baton;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = dir_baton;
PyObject *result;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"change_dir_prop",
+ if ((result = PyObject_CallMethod(editor, (char *)"change_dir_prop",
#if IS_PY3
(char *)"Oyy#O&",
#else
(char *)"Oss#O&",
#endif
- ib->baton, name,
+ baton_item, name,
value ? value->data : NULL,
(Py_ssize_t) (value ? value->len : 0),
make_ob_pool, pool)) == NULL)
@@ -2020,7 +2120,7 @@ static svn_error_t *change_dir_prop(void *dir_baton,
static svn_error_t *close_directory(void *dir_baton,
apr_pool_t *pool)
{
- return close_baton(dir_baton, "close_directory");
+ return close_baton(dir_baton, "close_directory", FALSE);
}
static svn_error_t *add_file(const char *path,
@@ -2030,20 +2130,25 @@ static svn_error_t *add_file(const char *path,
apr_pool_t *file_pool,
void **file_baton)
{
- item_baton *ib = parent_baton;
- PyObject *result;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = parent_baton;
+ PyObject *result = NULL;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"add_file",
+ if ((result = PyObject_CallMethod(editor, (char *)"add_file",
#if IS_PY3
(char *)"yOylO&",
#else
(char *)"sOslO&",
#endif
- path, ib->baton,
+ path, baton_item,
copyfrom_path, copyfrom_revision,
make_ob_pool, file_pool)) == NULL)
{
@@ -2051,12 +2156,16 @@ static svn_error_t *add_file(const char *path,
goto finished;
}
- /* make_baton takes our 'result' reference */
- *file_baton = make_baton(file_pool, ib->editor, result);
+ if ((*file_baton = make_baton(file_pool, ib, result)) == NULL)
+ {
+ err = callback_exception_error();
+ goto finished;
+ }
err = SVN_NO_ERROR;
finished:
+ Py_XDECREF(result);
svn_swig_py_release_py_lock();
return err;
}
@@ -2067,27 +2176,36 @@ static svn_error_t *open_file(const char *path,
apr_pool_t *file_pool,
void **file_baton)
{
- item_baton *ib = parent_baton;
- PyObject *result;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = parent_baton;
+ PyObject *result = NULL;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"open_file",
+ if ((result = PyObject_CallMethod(editor, (char *)"open_file",
(char *)SVN_SWIG_BYTES_FMT "OlO&",
- path, ib->baton, base_revision,
+ path, baton_item, base_revision,
make_ob_pool, file_pool)) == NULL)
{
err = callback_exception_error();
goto finished;
}
- /* make_baton takes our 'result' reference */
- *file_baton = make_baton(file_pool, ib->editor, result);
+ if ((*file_baton = make_baton(file_pool, ib, result)) == NULL)
+ {
+ err = callback_exception_error();
+ goto finished;
+ }
err = SVN_NO_ERROR;
finished:
+ Py_XDECREF(result);
svn_swig_py_release_py_lock();
return err;
}
@@ -2095,12 +2213,19 @@ static svn_error_t *open_file(const char *path,
static svn_error_t *window_handler(svn_txdelta_window_t *window,
void *baton)
{
- PyObject *handler = baton;
- PyObject *result;
- svn_error_t *err;
+ PyObject *editor = NULL, *handler = NULL;
+ PyObject *ib = baton;
+ PyObject *result = NULL;
+ int is_last_call = FALSE;
+ svn_error_t *err = SVN_NO_ERROR;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &handler, ib)) != SVN_NO_ERROR)
+ {
+ is_last_call = TRUE;
+ goto finished;
+ }
if (window == NULL)
{
/* the last call; it closes the handler */
@@ -2108,9 +2233,8 @@ static svn_error_t *window_handler(svn_txdelta_window_t *window,
/* invoke the handler with None for the window */
/* ### python doesn't have 'const' on the format */
result = PyObject_CallFunction(handler, (char *)"O", Py_None);
+ is_last_call = TRUE;
- /* we no longer need to refer to the handler object */
- Py_DECREF(handler);
}
else
{
@@ -2123,14 +2247,40 @@ static svn_error_t *window_handler(svn_txdelta_window_t *window,
if (result == NULL)
{
err = callback_exception_error();
+ is_last_call = TRUE;
goto finished;
}
-
- /* there is no return value, so just toss this object (probably Py_None) */
- Py_DECREF(result);
- err = SVN_NO_ERROR;
+ else
+ {
+ /* there is no return value, so just toss this object
+ (probably Py_None) */
+ Py_DECREF(result);
+ err = SVN_NO_ERROR;
+ }
finished:
+ if (is_last_call)
+ {
+ /* now we should release the handler object */
+ if (PyObject_HasAttrString(ib, "release_self"))
+ {
+ /* Get reference for ib, because following function call remove
+ ib object from ancestor's dict, which we borrow the reference */
+ Py_INCREF(ib);
+ result = PyObject_CallMethod(ib, "release_self", NULL, NULL);
+ /* Now we can release the reference safely */
+ Py_DECREF(ib);
+ if (result == NULL)
+ {
+ if (err == SVN_NO_ERROR)
+ {
+ err = callback_exception_error();
+ }
+ }
+ Py_XDECREF(result);
+ }
+ }
+
svn_swig_py_release_py_lock();
return err;
}
@@ -2141,20 +2291,25 @@ static svn_error_t *apply_textdelta(void *file_baton,
svn_txdelta_window_handler_t *handler,
void **h_baton)
{
- item_baton *ib = file_baton;
- PyObject *result;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = file_baton;
+ PyObject *result = NULL;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"apply_textdelta",
+ if ((result = PyObject_CallMethod(editor, (char *)"apply_textdelta",
#if IS_PY3
(char *)"(Oy)",
#else
(char *)"(Os)",
#endif
- ib->baton,
+ baton_item,
base_checksum)) == NULL)
{
err = callback_exception_error();
@@ -2173,15 +2328,21 @@ static svn_error_t *apply_textdelta(void *file_baton,
}
else
{
- /* return the thunk for invoking the handler. the baton takes our
- 'result' reference, which is the handler. */
+ /* return the thunk for invoking the handler. the baton creates
+ new reference of our 'result' reference, which is the handler,
+ so we release it even if no error. */
*handler = window_handler;
- *h_baton = result;
+ if ((*h_baton = make_baton(pool, ib, result)) == NULL)
+ {
+ err = callback_exception_error();
+ goto finished;
+ }
}
err = SVN_NO_ERROR;
finished:
+ Py_XDECREF(result);
svn_swig_py_release_py_lock();
return err;
}
@@ -2191,20 +2352,25 @@ static svn_error_t *change_file_prop(void *file_baton,
const svn_string_t *value,
apr_pool_t *pool)
{
- item_baton *ib = file_baton;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = file_baton;
PyObject *result;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"change_file_prop",
+ if ((result = PyObject_CallMethod(editor, (char *)"change_file_prop",
#if IS_PY3
(char *)"Oyy#O&",
#else
(char *)"Oss#O&",
#endif
- ib->baton, name,
+ baton_item, name,
value ? value->data : NULL,
(Py_ssize_t) (value ? value->len : 0),
make_ob_pool, pool)) == NULL)
@@ -2226,20 +2392,25 @@ static svn_error_t *close_file(void *file_baton,
const char *text_checksum,
apr_pool_t *pool)
{
- item_baton *ib = file_baton;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = file_baton;
PyObject *result;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"close_file",
+ if ((result = PyObject_CallMethod(editor, (char *)"close_file",
#if IS_PY3
(char *)"(Oy)",
#else
(char *)"(Os)",
#endif
- ib->baton,
+ baton_item,
text_checksum)) == NULL)
{
err = callback_exception_error();
@@ -2249,14 +2420,24 @@ static svn_error_t *close_file(void *file_baton,
/* there is no return value, so just toss this object (probably Py_None) */
Py_DECREF(result);
- /* We're now done with the baton. Since there isn't really a free, all
- we need to do is note that its objects are no longer referenced by
- the baton. */
- Py_XDECREF(ib->baton);
-
-#ifdef SVN_DEBUG
- ib->editor = ib->baton = NULL;
-#endif
+ /* We're now done with the baton. Release it from ancestor's dict */
+ if (PyObject_HasAttrString(ib, "release_self"))
+ {
+ /* Get reference for ib, because following function call remove
+ ib object from ancestor's dict, which we borrow the reference */
+ Py_INCREF(ib);
+ result = PyObject_CallMethod(ib, "release_self", NULL, NULL);
+ /* Now we can release the reference safely */
+ Py_DECREF(ib);
+ if (result == NULL)
+ {
+ err = callback_exception_error();
+ goto finished;
+ }
+ /* there is no return value, so just toss this object
+ (probably Py_None) */
+ Py_DECREF(result);
+ }
err = SVN_NO_ERROR;
@@ -2268,18 +2449,16 @@ static svn_error_t *close_file(void *file_baton,
static svn_error_t *close_edit(void *edit_baton,
apr_pool_t *pool)
{
- return close_baton(edit_baton, "close_edit");
+ return close_baton(edit_baton, "close_edit", TRUE);
}
static svn_error_t *abort_edit(void *edit_baton,
apr_pool_t *pool)
{
- return close_baton(edit_baton, "abort_edit");
+ return close_baton(edit_baton, "abort_edit", TRUE);
}
void svn_swig_py_make_editor(const svn_delta_editor_t **editor,
- void **edit_baton,
- PyObject *py_editor,
apr_pool_t *pool)
{
svn_delta_editor_t *thunk_editor = svn_delta_default_editor(pool);
@@ -2300,7 +2479,6 @@ void svn_swig_py_make_editor(const svn_delta_editor_t **editor,
thunk_editor->abort_edit = abort_edit;
*editor = thunk_editor;
- *edit_baton = make_baton(pool, py_editor, NULL);
}
@@ -2310,14 +2488,19 @@ static svn_error_t *parse_fn3_magic_header_record(int version,
void *parse_baton,
apr_pool_t *pool)
{
- item_baton *ib = parse_baton;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = parse_baton;
PyObject *result;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"magic_header_record",
+ if ((result = PyObject_CallMethod(editor, (char *)"magic_header_record",
(char *)"lO&", version,
make_ob_pool, pool)) == NULL)
{
@@ -2339,14 +2522,19 @@ static svn_error_t *parse_fn3_uuid_record(const char *uuid,
void *parse_baton,
apr_pool_t *pool)
{
- item_baton *ib = parse_baton;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = parse_baton;
PyObject *result;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"uuid_record",
+ if ((result = PyObject_CallMethod(editor, (char *)"uuid_record",
(char *)SVN_SWIG_BYTES_FMT "O&", uuid,
make_ob_pool, pool)) == NULL)
{
@@ -2369,14 +2557,19 @@ static svn_error_t *parse_fn3_new_revision_record(void **revision_baton,
void *parse_baton,
apr_pool_t *pool)
{
- item_baton *ib = parse_baton;
- PyObject *result;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = parse_baton;
+ PyObject *result = NULL;
PyObject *tmp;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
- if ((result = PyObject_CallMethod(ib->editor, (char *)"new_revision_record",
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
+ if ((result = PyObject_CallMethod(editor, (char *)"new_revision_record",
(char *)"O&O&",
svn_swig_py_stringhash_to_dict, headers,
make_ob_pool, pool)) == NULL) {
@@ -2384,11 +2577,15 @@ static svn_error_t *parse_fn3_new_revision_record(void **revision_baton,
goto finished;
}
- /* make_baton takes our 'result' reference */
- *revision_baton = make_baton(pool, ib->editor, result);
+ if ((*revision_baton = make_baton(pool, ib, result)) == NULL)
+ {
+ err = callback_exception_error();
+ goto finished;
+ }
err = SVN_NO_ERROR;
finished:
+ Py_XDECREF(result);
svn_swig_py_release_py_lock();
return err;
}
@@ -2399,26 +2596,35 @@ static svn_error_t *parse_fn3_new_node_record(void **node_baton,
void *revision_baton,
apr_pool_t *pool)
{
- item_baton *ib = revision_baton;
- PyObject *result;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = revision_baton;
+ PyObject *result = NULL;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
- if ((result = PyObject_CallMethod(ib->editor, (char *)"new_node_record",
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
+ if ((result = PyObject_CallMethod(editor, (char *)"new_node_record",
(char *)"O&OO&",
svn_swig_py_stringhash_to_dict, headers,
- ib->baton,
+ baton_item,
make_ob_pool, pool)) == NULL) {
err = callback_exception_error();
goto finished;
}
- /* make_baton takes our 'result' reference */
- *node_baton = make_baton(pool, ib->editor, result);
+ if ((*node_baton = make_baton(pool, ib, result)) == NULL)
+ {
+ err = callback_exception_error();
+ goto finished;
+ }
err = SVN_NO_ERROR;
finished:
+ Py_XDECREF(result);
svn_swig_py_release_py_lock();
return err;
}
@@ -2428,20 +2634,25 @@ static svn_error_t *parse_fn3_set_revision_property(void *revision_baton,
const char *name,
const svn_string_t *value)
{
- item_baton *ib = revision_baton;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = revision_baton;
PyObject *result;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"set_revision_property",
+ if ((result = PyObject_CallMethod(editor, (char *)"set_revision_property",
#if IS_PY3
(char *)"Oyy#",
#else
(char *)"Oss#",
#endif
- ib->baton, name,
+ baton_item, name,
value ? value->data : NULL,
(Py_ssize_t) (value ? value->len : 0)))
== NULL)
@@ -2464,20 +2675,25 @@ static svn_error_t *parse_fn3_set_node_property(void *node_baton,
const char *name,
const svn_string_t *value)
{
- item_baton *ib = node_baton;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = node_baton;
PyObject *result;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"set_node_property",
+ if ((result = PyObject_CallMethod(editor, (char *)"set_node_property",
#if IS_PY3
(char *)"Oyy#",
#else
(char *)"Oss#",
#endif
- ib->baton, name,
+ baton_item, name,
value ? value->data : NULL,
(Py_ssize_t) (value ? value->len : 0)))
== NULL)
@@ -2499,16 +2715,21 @@ static svn_error_t *parse_fn3_set_node_property(void *node_baton,
static svn_error_t *parse_fn3_delete_node_property(void *node_baton,
const char *name)
{
- item_baton *ib = node_baton;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = node_baton;
PyObject *result;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"delete_node_property",
+ if ((result = PyObject_CallMethod(editor, (char *)"delete_node_property",
(char *)"O" SVN_SWIG_BYTES_FMT,
- ib->baton, name)) == NULL)
+ baton_item, name)) == NULL)
{
err = callback_exception_error();
goto finished;
@@ -2526,15 +2747,20 @@ static svn_error_t *parse_fn3_delete_node_property(void *node_baton,
static svn_error_t *parse_fn3_remove_node_props(void *node_baton)
{
- item_baton *ib = node_baton;
+ PyObject *editor = NULL, *baton_item = NULL;
+ PyObject *ib = node_baton;
PyObject *result;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton(&editor, &baton_item, ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"remove_node_props",
- (char *)"(O)", ib->baton)) == NULL)
+ if ((result = PyObject_CallMethod(editor, (char *)"remove_node_props",
+ (char *)"(O)", baton_item)) == NULL)
{
err = callback_exception_error();
goto finished;
@@ -2553,15 +2779,22 @@ static svn_error_t *parse_fn3_remove_node_props(void *node_baton)
static svn_error_t *parse_fn3_set_fulltext(svn_stream_t **stream,
void *node_baton)
{
- item_baton *ib = node_baton;
+ PyObject *editor = NULL, *baton_item = NULL, *py_pool = NULL;
+ PyObject *ib = node_baton;
PyObject *result = NULL;
+ apr_pool_t *pool;
svn_error_t *err = SVN_NO_ERROR;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton_with_pool(&editor, &baton_item, &py_pool,
+ ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"set_fulltext",
- (char *)"(O)", ib->baton)) == NULL)
+ if ((result = PyObject_CallMethod(editor, (char *)"set_fulltext",
+ (char *)"(O)", baton_item)) == NULL)
{
err = callback_exception_error();
goto finished;
@@ -2574,9 +2807,15 @@ static svn_error_t *parse_fn3_set_fulltext(svn_stream_t **stream,
}
else
{
+ if (svn_swig_ConvertPtrString(py_pool, (void **)&pool,
+ "apr_pool_t *") == -1)
+ {
+ err = type_conversion_error("apr_pool_t *");
+ goto finished;
+ }
/* create a stream from the IO object. it will increment the
reference on the 'result'. */
- *stream = svn_swig_py_make_stream(result, ib->pool);
+ *stream = svn_swig_py_make_stream(result, pool);
if (*stream == NULL)
{
err = callback_exception_error();
@@ -2593,19 +2832,27 @@ finished:
}
-static svn_error_t *parse_fn3_apply_textdelta(svn_txdelta_window_handler_t *handler,
- void **handler_baton,
- void *node_baton)
+static svn_error_t *
+parse_fn3_apply_textdelta(svn_txdelta_window_handler_t *handler,
+ void **handler_baton,
+ void *node_baton)
{
- item_baton *ib = node_baton;
+ PyObject *editor = NULL, *baton_item = NULL, *py_pool = NULL;
+ PyObject *ib = node_baton;
+ apr_pool_t *pool;
PyObject *result;
svn_error_t *err;
svn_swig_py_acquire_py_lock();
+ if ((err = unwrap_item_baton_with_pool(&editor, &baton_item, &py_pool,
+ ib)) != SVN_NO_ERROR)
+ {
+ goto finished;
+ }
/* ### python doesn't have 'const' on the method name and format */
- if ((result = PyObject_CallMethod(ib->editor, (char *)"apply_textdelta",
- (char *)"(O)", ib->baton)) == NULL)
+ if ((result = PyObject_CallMethod(editor, (char *)"apply_textdelta",
+ (char *)"(O)", baton_item)) == NULL)
{
err = callback_exception_error();
goto finished;
@@ -2623,10 +2870,21 @@ static svn_error_t *parse_fn3_apply_textdelta(svn_txdelta_window_handler_t *hand
}
else
{
- /* return the thunk for invoking the handler. the baton takes our
- 'result' reference, which is the handler. */
+ /* return the thunk for invoking the handler. the baton creates
+ new reference of our 'result' reference, which is the handler,
+ so we release it even if no error. */
*handler = window_handler;
- *handler_baton = result;
+ if (svn_swig_ConvertPtrString(py_pool, (void **)&pool,
+ "apr_pool_t *") == -1)
+ {
+ err = type_conversion_error("apr_pool_t *");
+ goto finished;
+ }
+ if ((*handler_baton = make_baton(pool, ib, result)) == NULL)
+ {
+ err = callback_exception_error();
+ goto finished;
+ }
}
err = SVN_NO_ERROR;
@@ -2639,13 +2897,13 @@ static svn_error_t *parse_fn3_apply_textdelta(svn_txdelta_window_handler_t *hand
static svn_error_t *parse_fn3_close_node(void *node_baton)
{
- return close_baton(node_baton, "close_node");
+ return close_baton(node_baton, "close_node", FALSE);
}
static svn_error_t *parse_fn3_close_revision(void *revision_baton)
{
- return close_baton(revision_baton, "close_revision");
+ return close_baton(revision_baton, "close_revision", FALSE);
}
@@ -2665,26 +2923,11 @@ static const svn_repos_parse_fns3_t thunk_parse_fns3_vtable =
parse_fn3_close_revision
};
-static apr_status_t
-svn_swig_py_parse_fns3_destroy(void *parse_baton)
-{
- close_baton(parse_baton, "_close_dumpstream");
- return APR_SUCCESS;
-}
-
void svn_swig_py_make_parse_fns3(const svn_repos_parse_fns3_t **parse_fns3,
- void **parse_baton,
- PyObject *py_parse_fns3,
apr_pool_t *pool)
{
*parse_fns3 = &thunk_parse_fns3_vtable;
- *parse_baton = make_baton(pool, py_parse_fns3, NULL);
-
- /* Dump stream vtable does not provide a method which is called right before
- the end of the parsing (similar to close_edit/abort_edit in delta editor).
- Thus, register a pool clean-up routine to release this parse baton. */
- apr_pool_cleanup_register(pool, *parse_baton, svn_swig_py_parse_fns3_destroy,
- apr_pool_cleanup_null);
+ return;
}
diff --git a/subversion/bindings/swig/python/libsvn_swig_py/swigutil_py.h b/subversion/bindings/swig/python/libsvn_swig_py/swigutil_py.h
index 7650cec..31664a6 100644
--- a/subversion/bindings/swig/python/libsvn_swig_py/swigutil_py.h
+++ b/subversion/bindings/swig/python/libsvn_swig_py/swigutil_py.h
@@ -268,14 +268,10 @@ svn_swig_py_unwrap_struct_ptr(PyObject *source,
/* make an editor that "thunks" from C callbacks up to Python */
void svn_swig_py_make_editor(const svn_delta_editor_t **editor,
- void **edit_baton,
- PyObject *py_editor,
apr_pool_t *pool);
/* make a parse vtable that "thunks" from C callbacks up to Python */
void svn_swig_py_make_parse_fns3(const svn_repos_parse_fns3_t **parse_fns3,
- void **parse_baton,
- PyObject *py_parse_fns3,
apr_pool_t *pool);
apr_file_t *svn_swig_py_make_file(PyObject *py_file,
diff --git a/subversion/bindings/swig/python/repos.py b/subversion/bindings/swig/python/repos.py
index 008bb8a..53bbb82 100644
--- a/subversion/bindings/swig/python/repos.py
+++ b/subversion/bindings/swig/python/repos.py
@@ -142,8 +142,8 @@ import libsvn.core
import libsvn.delta
import libsvn.fs
-def svn_swig_py_make_parse_fns3(*args) -> "svn_repos_parse_fns3_t const **, void **":
- """svn_swig_py_make_parse_fns3(PyObject * py_parse_fns3, apr_pool_t pool)"""
+def svn_swig_py_make_parse_fns3(*args) -> "svn_repos_parse_fns3_t const **":
+ """svn_swig_py_make_parse_fns3(apr_pool_t pool)"""
return _repos.svn_swig_py_make_parse_fns3(*args)
def svn_repos_version() -> "svn_version_t const *":
diff --git a/subversion/bindings/swig/python/svn/delta.py b/subversion/bindings/swig/python/svn/delta.py
index 0a712cd..5058432 100644
--- a/subversion/bindings/swig/python/svn/delta.py
+++ b/subversion/bindings/swig/python/svn/delta.py
@@ -77,5 +77,6 @@ class Editor:
pass
-def make_editor(editor, pool=None):
- return svn_swig_py_make_editor(editor, pool)
+def make_editor(editor, pool=None, baton=None):
+ from libsvn.delta import _AncBaton
+ return svn_swig_py_make_editor(pool), _AncBaton(editor, pool, baton)
diff --git a/subversion/bindings/swig/python/svn/fs.py b/subversion/bindings/swig/python/svn/fs.py
index 13acc04..7d00d1a 100644
--- a/subversion/bindings/swig/python/svn/fs.py
+++ b/subversion/bindings/swig/python/svn/fs.py
@@ -23,6 +23,7 @@
# under the License.
######################################################################
+import errno
from libsvn.fs import *
from svn.core import _unprefix_names, Pool, _as_list
_unprefix_names(locals(), 'svn_fs_')
@@ -130,6 +131,18 @@ class FileDiff:
return self.tempfile1, self.tempfile2
def get_pipe(self):
+ """Perform diff and return a file object from which the output can
+ be read.
+
+ When DIFFOPTIONS is None (the default), use svn's internal diff.
+
+ With any other DIFFOPTIONS, exec the external diff found on PATH,
+ passing it DIFFOPTIONS. On Windows, exec diff.exe rather than
+ diff. If a diff utility is not installed or found on PATH, throws
+ FileNotFoundError. Caveat: On some systems, including Windows, an
+ external diff may not be available unless installed and added to
+ PATH manually.
+ """
self.get_files()
# If diffoptions were provided, then the diff command needs to be
@@ -142,8 +155,17 @@ class FileDiff:
+ [self.tempfile1, self.tempfile2]
# open the pipe, and return the file object for reading from the child.
- p = _subprocess.Popen(cmd, stdout=_subprocess.PIPE, bufsize=-1,
- close_fds=_sys.platform != "win32")
+ try:
+ p = _subprocess.Popen(cmd, stdout=_subprocess.PIPE, bufsize=-1,
+ close_fds=_sys.platform != "win32")
+ # When removing Python 2 support: Change to FileNotFoundError and
+ # remove check for ENOENT (FileNotFoundError "Corresponds to errno
+ # ENOENT" according to documentation)
+ except OSError as err:
+ if err.errno == errno.ENOENT:
+ err.strerror = "External diff command not found in PATH"
+ raise err
+
return _PopenStdoutWrapper(p)
else:
diff --git a/subversion/bindings/swig/python/svn/repos.py b/subversion/bindings/swig/python/svn/repos.py
index 486a850..f075acf 100644
--- a/subversion/bindings/swig/python/svn/repos.py
+++ b/subversion/bindings/swig/python/svn/repos.py
@@ -336,5 +336,16 @@ class ParseFns3:
pass
-def make_parse_fns3(parse_fns3, pool=None):
- return svn_swig_py_make_parse_fns3(parse_fns3, pool)
+def make_parse_fns3(parse_fns3, pool=None, baton=None):
+ from libsvn.delta import _AncBaton
+
+ class _ParseBaton(_AncBaton):
+ # Drive _close_dumpstream method when the instance is deleted.
+ # For backward compatibility before Subversion 1.15, we call it even if
+ # the instance would not be used by C API, or the C API would cause
+ # some error.
+ def __del__(self):
+ self.editor._close_dumpstream()
+
+ parse_baton = _ParseBaton(parse_fns3, pool, baton)
+ return svn_swig_py_make_parse_fns3(pool), parse_baton
diff --git a/subversion/bindings/swig/python/svn_delta.c b/subversion/bindings/swig/python/svn_delta.c
index 3b4b4e1..8407149 100644
--- a/subversion/bindings/swig/python/svn_delta.c
+++ b/subversion/bindings/swig/python/svn_delta.c
@@ -3678,36 +3678,30 @@ extern "C" {
SWIGINTERN PyObject *_wrap_svn_swig_py_make_editor(PyObject *SWIGUNUSEDPARM(self), PyObject *args) {
PyObject *resultobj = 0;
svn_delta_editor_t **arg1 = (svn_delta_editor_t **) 0 ;
- void **arg2 = (void **) 0 ;
- PyObject *arg3 = (PyObject *) 0 ;
- apr_pool_t *arg4 = (apr_pool_t *) 0 ;
+ apr_pool_t *arg2 = (apr_pool_t *) 0 ;
apr_pool_t *_global_pool = NULL ;
PyObject *_global_py_pool = NULL ;
svn_delta_editor_t *temp1 ;
- void *temp2 ;
PyObject * obj0 = 0 ;
- PyObject * obj1 = 0 ;
if (svn_swig_py_get_pool_arg(args, SWIGTYPE_p_apr_pool_t,
&_global_py_pool, &_global_pool))
SWIG_fail;
- arg4 = _global_pool;
+ arg2 = _global_pool;
arg1 = &temp1;
- arg2 = &temp2;
- if(!PyArg_UnpackTuple(args,(char *)"svn_swig_py_make_editor",1,2,&obj0,&obj1)) SWIG_fail;
- arg3 = obj0;
- if (obj1) {
+ if(!PyArg_UnpackTuple(args,(char *)"svn_swig_py_make_editor",0,1,&obj0)) SWIG_fail;
+ if (obj0) {
/* Verify that the user supplied a valid pool */
- if (obj1 != Py_None && obj1 != _global_py_pool) {
- SWIG_Python_TypeError(SWIG_TypePrettyName(SWIGTYPE_p_apr_pool_t), obj1);
- SWIG_arg_fail(svn_argnum_obj1);
+ if (obj0 != Py_None && obj0 != _global_py_pool) {
+ SWIG_Python_TypeError(SWIG_TypePrettyName(SWIGTYPE_p_apr_pool_t), obj0);
+ SWIG_arg_fail(svn_argnum_obj0);
SWIG_fail;
}
}
{
svn_swig_py_release_py_lock();
- svn_swig_py_make_editor((struct svn_delta_editor_t const **)arg1,arg2,arg3,arg4);
+ svn_swig_py_make_editor((struct svn_delta_editor_t const **)arg1,arg2);
svn_swig_py_acquire_py_lock();
@@ -3720,12 +3714,6 @@ SWIGINTERN PyObject *_wrap_svn_swig_py_make_editor(PyObject *SWIGUNUSEDPARM(self
;
}
{
- resultobj = SWIG_Python_AppendOutput(resultobj, svn_swig_py_new_pointer_obj(*arg2, SWIGTYPE_p_void,
- _global_py_pool, args))
-
- ;
- }
- {
Py_XDECREF(_global_py_pool);
}
return resultobj;
@@ -10128,7 +10116,7 @@ SWIGINTERN PyObject *svn_file_rev_handler_old_t_swigregister(PyObject *SWIGUNUSE
static PyMethodDef SwigMethods[] = {
{ (char *)"SWIG_PyInstanceMethod_New", (PyCFunction)SWIG_PyInstanceMethod_New, METH_O, NULL},
- { (char *)"svn_swig_py_make_editor", _wrap_svn_swig_py_make_editor, METH_VARARGS, (char *)"svn_swig_py_make_editor(PyObject * py_editor, apr_pool_t pool)"},
+ { (char *)"svn_swig_py_make_editor", _wrap_svn_swig_py_make_editor, METH_VARARGS, (char *)"svn_swig_py_make_editor(apr_pool_t pool)"},
{ (char *)"svn_delta_version", _wrap_svn_delta_version, METH_VARARGS, (char *)"svn_delta_version() -> svn_version_t const *"},
{ (char *)"svn_txdelta_op_t_action_code_set", _wrap_svn_txdelta_op_t_action_code_set, METH_VARARGS, (char *)"svn_txdelta_op_t_action_code_set(svn_txdelta_op_t self, enum svn_delta_action action_code)"},
{ (char *)"svn_txdelta_op_t_action_code_get", _wrap_svn_txdelta_op_t_action_code_get, METH_VARARGS, (char *)"svn_txdelta_op_t_action_code_get(svn_txdelta_op_t self) -> enum svn_delta_action"},
diff --git a/subversion/bindings/swig/python/svn_repos.c b/subversion/bindings/swig/python/svn_repos.c
index f3fd79a..2baf845 100644
--- a/subversion/bindings/swig/python/svn_repos.c
+++ b/subversion/bindings/swig/python/svn_repos.c
@@ -3863,36 +3863,30 @@ extern "C" {
SWIGINTERN PyObject *_wrap_svn_swig_py_make_parse_fns3(PyObject *SWIGUNUSEDPARM(self), PyObject *args) {
PyObject *resultobj = 0;
svn_repos_parse_fns3_t **arg1 = (svn_repos_parse_fns3_t **) 0 ;
- void **arg2 = (void **) 0 ;
- PyObject *arg3 = (PyObject *) 0 ;
- apr_pool_t *arg4 = (apr_pool_t *) 0 ;
+ apr_pool_t *arg2 = (apr_pool_t *) 0 ;
apr_pool_t *_global_pool = NULL ;
PyObject *_global_py_pool = NULL ;
svn_repos_parse_fns3_t *temp1 ;
- void *temp2 ;
PyObject * obj0 = 0 ;
- PyObject * obj1 = 0 ;
if (svn_swig_py_get_pool_arg(args, SWIGTYPE_p_apr_pool_t,
&_global_py_pool, &_global_pool))
SWIG_fail;
- arg4 = _global_pool;
+ arg2 = _global_pool;
arg1 = &temp1;
- arg2 = &temp2;
- if(!PyArg_UnpackTuple(args,(char *)"svn_swig_py_make_parse_fns3",1,2,&obj0,&obj1)) SWIG_fail;
- arg3 = obj0;
- if (obj1) {
+ if(!PyArg_UnpackTuple(args,(char *)"svn_swig_py_make_parse_fns3",0,1,&obj0)) SWIG_fail;
+ if (obj0) {
/* Verify that the user supplied a valid pool */
- if (obj1 != Py_None && obj1 != _global_py_pool) {
- SWIG_Python_TypeError(SWIG_TypePrettyName(SWIGTYPE_p_apr_pool_t), obj1);
- SWIG_arg_fail(svn_argnum_obj1);
+ if (obj0 != Py_None && obj0 != _global_py_pool) {
+ SWIG_Python_TypeError(SWIG_TypePrettyName(SWIGTYPE_p_apr_pool_t), obj0);
+ SWIG_arg_fail(svn_argnum_obj0);
SWIG_fail;
}
}
{
svn_swig_py_release_py_lock();
- svn_swig_py_make_parse_fns3((struct svn_repos_parse_fns3_t const **)arg1,arg2,arg3,arg4);
+ svn_swig_py_make_parse_fns3((struct svn_repos_parse_fns3_t const **)arg1,arg2);
svn_swig_py_acquire_py_lock();
@@ -3905,12 +3899,6 @@ SWIGINTERN PyObject *_wrap_svn_swig_py_make_parse_fns3(PyObject *SWIGUNUSEDPARM(
;
}
{
- resultobj = SWIG_Python_AppendOutput(resultobj, svn_swig_py_new_pointer_obj(*arg2, SWIGTYPE_p_void,
- _global_py_pool, args))
-
- ;
- }
- {
Py_XDECREF(_global_py_pool);
}
return resultobj;
@@ -22833,7 +22821,7 @@ SWIGINTERN PyObject *svn_repos_authz_warning_func_t_swigregister(PyObject *SWIGU
static PyMethodDef SwigMethods[] = {
{ (char *)"SWIG_PyInstanceMethod_New", (PyCFunction)SWIG_PyInstanceMethod_New, METH_O, NULL},
- { (char *)"svn_swig_py_make_parse_fns3", _wrap_svn_swig_py_make_parse_fns3, METH_VARARGS, (char *)"svn_swig_py_make_parse_fns3(PyObject * py_parse_fns3, apr_pool_t pool)"},
+ { (char *)"svn_swig_py_make_parse_fns3", _wrap_svn_swig_py_make_parse_fns3, METH_VARARGS, (char *)"svn_swig_py_make_parse_fns3(apr_pool_t pool)"},
{ (char *)"svn_repos_version", _wrap_svn_repos_version, METH_VARARGS, (char *)"svn_repos_version() -> svn_version_t const *"},
{ (char *)"svn_repos_notify_t_action_set", _wrap_svn_repos_notify_t_action_set, METH_VARARGS, (char *)"svn_repos_notify_t_action_set(svn_repos_notify_t self, svn_repos_notify_action_t action)"},
{ (char *)"svn_repos_notify_t_action_get", _wrap_svn_repos_notify_t_action_get, METH_VARARGS, (char *)"svn_repos_notify_t_action_get(svn_repos_notify_t self) -> svn_repos_notify_action_t"},
diff --git a/subversion/bindings/swig/python/tests/delta.py b/subversion/bindings/swig/python/tests/delta.py
index f668c32..b054fcd 100644
--- a/subversion/bindings/swig/python/tests/delta.py
+++ b/subversion/bindings/swig/python/tests/delta.py
@@ -21,6 +21,7 @@
import unittest, setup_path
import os
import tempfile
+import weakref
import svn.delta
import svn.core
from sys import version_info # For Python version check
@@ -117,6 +118,19 @@ class DeltaTestCase(unittest.TestCase):
# Check that the ops inherit the window's pool
self.assertEqual(window.ops[0]._parent_pool, window._parent_pool)
+ def testMakeEditorLeak(self):
+ """Issue 4916, check ref-count leak on svn.delta.make_editor()"""
+ pool = svn.core.Pool()
+ editor = svn.delta.Editor()
+ editor_ref = weakref.ref(editor)
+ e_ptr, e_baton = svn.delta.make_editor(editor, pool)
+ del e_ptr, e_baton
+ self.assertNotEqual(editor_ref(), None)
+ del pool
+ self.assertNotEqual(editor_ref(), None)
+ del editor
+ self.assertEqual(editor_ref(), None)
+
def suite():
return unittest.defaultTestLoader.loadTestsFromTestCase(DeltaTestCase)
diff --git a/subversion/bindings/swig/python/tests/fs.py b/subversion/bindings/swig/python/tests/fs.py
index 40e1b0c..4da5049 100644
--- a/subversion/bindings/swig/python/tests/fs.py
+++ b/subversion/bindings/swig/python/tests/fs.py
@@ -107,6 +107,9 @@ class SubversionFSTestCase(unittest.TestCase):
try:
diffout, differr = Popen(["diff"], stdin=PIPE, stderr=PIPE).communicate()
+ # When removing Python 2 support: Change to FileNotFoundError and
+ # remove check for ENOENT (FileNotFoundError "Corresponds to errno
+ # ENOENT" according to documentation)
except OSError as err:
if err.errno == errno.ENOENT:
self.skipTest("'diff' command not present")
diff --git a/subversion/bindings/swig/python/tests/repository.py b/subversion/bindings/swig/python/tests/repository.py
index abf5d72..bcbe483 100644
--- a/subversion/bindings/swig/python/tests/repository.py
+++ b/subversion/bindings/swig/python/tests/repository.py
@@ -18,11 +18,11 @@
# under the License.
#
#
-import unittest, setup_path, os, sys
+import unittest, setup_path, os, sys, weakref
from sys import version_info # For Python version check
from io import BytesIO
from svn import core, repos, fs, delta
-from svn.core import SubversionException
+from svn.core import SubversionException, Pool
import utils
class ChangeReceiver(delta.Editor):
@@ -40,9 +40,20 @@ class ChangeReceiver(delta.Editor):
return textdelta_handler
class DumpStreamParser(repos.ParseFns3):
- def __init__(self):
+ def __init__(self, stream=None, pool=None):
repos.ParseFns3.__init__(self)
+ self.stream = stream
self.ops = []
+ # for leak checking only. If the parse_fns3 object holds some proxy
+ # object allocated from 'pool' or the 'pool' itself, the 'pool' is not
+ # destroyed until the parse_fns3 object is removed.
+ self.pool = pool
+ def _close_dumpstream(self):
+ if self.stream:
+ self.stream.close()
+ self.stream = None
+ if self.pool:
+ self.pool = None
def magic_header_record(self, version, pool=None):
self.ops.append((b"magic-header", version))
def uuid_record(self, uuid, pool=None):
@@ -74,6 +85,76 @@ class DumpStreamParser(repos.ParseFns3):
self.ops.append((b"set-fulltext", node_baton[0], node_baton[1]))
return None
+class BatonCollector(repos.ChangeCollector):
+ """A ChangeCollector with collecting batons, too"""
+ def __init__(self, fs_ptr, root, pool=None, notify_cb=None):
+ repos.ChangeCollector.__init__(self, fs_ptr, root, pool, notify_cb)
+ self.batons = []
+ self.close_called = False
+ self.abort_called = False
+
+ def open_root(self, base_revision, dir_pool=None):
+ bt = repos.ChangeCollector.open_root(self, base_revision, dir_pool)
+ self.batons.append((b'dir baton', b'', bt, sys.getrefcount(bt)))
+ return bt
+
+ def add_directory(self, path, parent_baton,
+ copyfrom_path, copyfrom_revision, dir_pool=None):
+ bt = repos.ChangeCollector.add_directory(self, path, parent_baton,
+ copyfrom_path,
+ copyfrom_revision,
+ dir_pool)
+ self.batons.append((b'dir baton', path, bt, sys.getrefcount(bt)))
+ return bt
+
+ def open_directory(self, path, parent_baton, base_revision,
+ dir_pool=None):
+ bt = repos.ChangeCollector.open_directory(self, path, parent_baton,
+ base_revision, dir_pool)
+ self.batons.append((b'dir baton', path, bt, sys.getrefcount(bt)))
+ return bt
+
+ def add_file(self, path, parent_baton,
+ copyfrom_path, copyfrom_revision, file_pool=None):
+ bt = repos.ChangeCollector.add_file(self, path, parent_baton,
+ copyfrom_path, copyfrom_revision,
+ file_pool)
+ self.batons.append((b'file baton', path, bt, sys.getrefcount(bt)))
+ return bt
+
+ def open_file(self, path, parent_baton, base_revision, file_pool=None):
+ bt = repos.ChangeCollector.open_file(self, path, parent_baton,
+ base_revision, file_pool)
+ self.batons.append((b'file baton', path, bt, sys.getrefcount(bt)))
+ return bt
+
+ def close_edit(self, pool=None):
+ self.close_called = True
+ return
+
+ def abort_edit(self, pool=None):
+ self.abort_called = True
+ return
+
+class BatonCollectorErrorOnClose(BatonCollector):
+ """Same as BatonCollector, but raises an Exception when close the
+ file/dir specfied by error_path"""
+ def __init__(self, fs_ptr, root, pool=None, notify_cb=None, error_path=b''):
+ BatonCollector.__init__(self, fs_ptr, root, pool, notify_cb)
+ self.error_path = error_path
+
+ def close_directory(self, dir_baton):
+ if dir_baton[0] == self.error_path:
+ raise SubversionException('A Dummy Exception!', core.SVN_ERR_BASE)
+ else:
+ BatonCollector.close_directory(self, dir_baton)
+
+ def close_file(self, file_baton, text_checksum):
+ if file_baton[0] == self.error_path:
+ raise SubversionException('A Dummy Exception!', core.SVN_ERR_BASE)
+ else:
+ return BatonCollector.close_file(self, file_baton, text_checksum)
+
def _authz_callback(root, path, pool):
"A dummy authz callback which always returns success."
@@ -175,13 +256,15 @@ class SubversionRepositoryTestCase(unittest.TestCase):
def is_cancelled():
self.cancel_calls += 1
return None
+ pool = Pool()
+ subpool = Pool(pool)
dump_path = os.path.join(os.path.dirname(sys.argv[0]),
"trac/versioncontrol/tests/svnrepos.dump")
stream = open(dump_path, 'rb')
- dsp = DumpStreamParser()
- ptr, baton = repos.make_parse_fns3(dsp)
+ dsp = DumpStreamParser(stream, subpool)
+ dsp_ref = weakref.ref(dsp)
+ ptr, baton = repos.make_parse_fns3(dsp, subpool)
repos.parse_dumpstream3(stream, ptr, baton, False, is_cancelled)
- stream.close()
self.assertEqual(self.cancel_calls, 76)
expected_list = [
(b"magic-header", 2),
@@ -226,6 +309,13 @@ class SubversionRepositoryTestCase(unittest.TestCase):
# the comparison list gets too long.
self.assertEqual(dsp.ops[:len(expected_list)], expected_list)
+ # _close_dumpstream should be invoked after 'baton' is removed.
+ self.assertEqual(False, stream.closed)
+ del ptr, baton, subpool, dsp
+ self.assertEqual(True, stream.closed)
+ # Issue SVN-4918
+ self.assertEqual(None, dsp_ref())
+
def test_parse_fns3_invalid_set_fulltext(self):
class DumpStreamParserSubclass(DumpStreamParser):
def set_fulltext(self, node_baton):
@@ -290,6 +380,53 @@ class SubversionRepositoryTestCase(unittest.TestCase):
repos.dir_delta(prev_root, b'', b'', this_root, b'', e_ptr, e_baton,
_authz_callback, 1, 1, 0, 0)
+ def test_delta_editor_leak_with_change_collector(self):
+ pool = Pool()
+ subpool = Pool(pool)
+ root = fs.revision_root(self.fs, self.rev, subpool)
+ editor = repos.ChangeCollector(self.fs, root, subpool)
+ editor_ref = weakref.ref(editor)
+ e_ptr, e_baton = delta.make_editor(editor, subpool)
+ repos.replay(root, e_ptr, e_baton, subpool)
+
+ fs.close_root(root)
+ del root
+ self.assertNotEqual(None, editor_ref())
+
+ del e_ptr, e_baton, editor
+ del subpool
+ self.assertEqual(None, editor_ref())
+
+ def test_replay_batons_refcounts(self):
+ """Issue SVN-4917: check ref-count of batons created and used in callbacks"""
+ root = fs.revision_root(self.fs, self.rev)
+ editor = BatonCollector(self.fs, root)
+ e_ptr, e_baton = delta.make_editor(editor)
+ repos.replay(root, e_ptr, e_baton)
+ for baton in editor.batons:
+ self.assertEqual(sys.getrefcount(baton[2]), 2,
+ "leak on baton %s after replay without errors"
+ % repr(baton))
+ del e_baton
+ self.assertEqual(sys.getrefcount(e_ptr), 2,
+ "leak on editor baton after replay without errors")
+
+ editor = BatonCollectorErrorOnClose(self.fs, root,
+ error_path=b'branches/v1x')
+ e_ptr, e_baton = delta.make_editor(editor)
+ self.assertRaises(SubversionException, repos.replay, root, e_ptr, e_baton)
+ batons = editor.batons
+ # As svn_repos_replay calls neither close_edit callback nor abort_edit
+ # if an error has occured during processing, references of Python objects
+ # in decendant batons may live until e_baton is deleted.
+ del e_baton
+ for baton in batons:
+ self.assertEqual(sys.getrefcount(baton[2]), 2,
+ "leak on baton %s after replay with an error"
+ % repr(baton))
+ self.assertEqual(sys.getrefcount(e_ptr), 2,
+ "leak on editor baton after replay with an error")
+
def test_retrieve_and_change_rev_prop(self):
"""Test playing with revprops"""
self.assertEqual(repos.fs_revision_prop(self.repos, self.rev, b"svn:log",
diff --git a/subversion/bindings/swig/ruby/core.c b/subversion/bindings/swig/ruby/core.c
index ba8b0b8..09991ad 100644
--- a/subversion/bindings/swig/ruby/core.c
+++ b/subversion/bindings/swig/ruby/core.c
@@ -2130,7 +2130,7 @@ SWIG_ruby_failed(void)
}
-/*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
+/*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
SWIGINTERN VALUE SWIG_AUX_NUM2LONG(VALUE *args)
{
VALUE obj = args[0];
@@ -2350,7 +2350,7 @@ typedef struct {
#include "svn_auth.h"
-/*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
+/*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
SWIGINTERN VALUE SWIG_AUX_NUM2ULONG(VALUE *args)
{
VALUE obj = args[0];
@@ -46744,15 +46744,15 @@ SWIGEXPORT void Init_core(void) {
rb_define_module_function(mCore, "svn_pool_create_allocator", _wrap_svn_pool_create_allocator, -1);
rb_define_const(mCore, "SVN_VER_MAJOR", SWIG_From_int((int)(1)));
rb_define_const(mCore, "SVN_VER_MINOR", SWIG_From_int((int)(14)));
- rb_define_const(mCore, "SVN_VER_PATCH", SWIG_From_int((int)(2)));
- rb_define_const(mCore, "SVN_VER_MICRO", SWIG_From_int((int)(2)));
+ rb_define_const(mCore, "SVN_VER_PATCH", SWIG_From_int((int)(3)));
+ rb_define_const(mCore, "SVN_VER_MICRO", SWIG_From_int((int)(3)));
rb_define_const(mCore, "SVN_VER_LIBRARY", SWIG_From_int((int)(1)));
- rb_define_const(mCore, "SVN_VER_TAG", SWIG_FromCharPtr(" (r1899510)"));
+ rb_define_const(mCore, "SVN_VER_TAG", SWIG_FromCharPtr(" (r1914484)"));
rb_define_const(mCore, "SVN_VER_NUMTAG", SWIG_FromCharPtr(""));
- rb_define_const(mCore, "SVN_VER_REVISION", SWIG_From_int((int)(1899510)));
- rb_define_const(mCore, "SVN_VER_NUM", SWIG_FromCharPtr("1.14.2"));
- rb_define_const(mCore, "SVN_VER_NUMBER", SWIG_FromCharPtr("1.14.2"));
- rb_define_const(mCore, "SVN_VERSION", SWIG_FromCharPtr("1.14.2 (r1899510)"));
+ rb_define_const(mCore, "SVN_VER_REVISION", SWIG_From_int((int)(1914484)));
+ rb_define_const(mCore, "SVN_VER_NUM", SWIG_FromCharPtr("1.14.3"));
+ rb_define_const(mCore, "SVN_VER_NUMBER", SWIG_FromCharPtr("1.14.3"));
+ rb_define_const(mCore, "SVN_VERSION", SWIG_FromCharPtr("1.14.3 (r1914484)"));
SwigClassSvn_version_t.klass = rb_define_class_under(mCore, "Svn_version_t", rb_cObject);
SWIG_TypeClientData(SWIGTYPE_p_svn_version_t, (void *) &SwigClassSvn_version_t);
diff --git a/subversion/bindings/swig/ruby/svn/util.rb b/subversion/bindings/swig/ruby/svn/util.rb
index d409b98..f73554f 100644
--- a/subversion/bindings/swig/ruby/svn/util.rb
+++ b/subversion/bindings/swig/ruby/svn/util.rb
@@ -20,7 +20,7 @@
if /cygwin|mingw|mswin32|bccwin32/.match(RUBY_PLATFORM)
$LOAD_PATH.each do |load_path|
svn_ext_path = File.join(load_path, "svn", "ext")
- if File.exists?(svn_ext_path)
+ if File.exist?(svn_ext_path)
svn_ext_path_win = File.expand_path(svn_ext_path)
svn_ext_path_win = svn_ext_path.gsub(File::SEPARATOR, File::ALT_SEPARATOR)
unless ENV["PATH"].split(";").find {|path| path == svn_ext_path_win}
diff --git a/subversion/bindings/swig/ruby/svn_client.c b/subversion/bindings/swig/ruby/svn_client.c
index e2c32d9..55e73a5 100644
--- a/subversion/bindings/swig/ruby/svn_client.c
+++ b/subversion/bindings/swig/ruby/svn_client.c
@@ -2074,7 +2074,7 @@ SWIG_ruby_failed(void)
}
-/*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
+/*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
SWIGINTERN VALUE SWIG_AUX_NUM2LONG(VALUE *args)
{
VALUE obj = args[0];
@@ -2179,7 +2179,7 @@ SWIG_From_int (int value)
}
-/*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
+/*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
SWIGINTERN VALUE SWIG_AUX_NUM2ULONG(VALUE *args)
{
VALUE obj = args[0];
diff --git a/subversion/bindings/swig/ruby/svn_delta.c b/subversion/bindings/swig/ruby/svn_delta.c
index 3991a70..f08ce1c 100644
--- a/subversion/bindings/swig/ruby/svn_delta.c
+++ b/subversion/bindings/swig/ruby/svn_delta.c
@@ -2018,7 +2018,7 @@ SWIG_ruby_failed(void)
}
-/*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
+/*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
SWIGINTERN VALUE SWIG_AUX_NUM2LONG(VALUE *args)
{
VALUE obj = args[0];
@@ -2063,7 +2063,7 @@ SWIG_AsVal_int (VALUE obj, int *val)
}
-/*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
+/*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
SWIGINTERN VALUE SWIG_AUX_NUM2ULONG(VALUE *args)
{
VALUE obj = args[0];
diff --git a/subversion/bindings/swig/ruby/svn_fs.c b/subversion/bindings/swig/ruby/svn_fs.c
index a4cddb6..9e6dd32 100644
--- a/subversion/bindings/swig/ruby/svn_fs.c
+++ b/subversion/bindings/swig/ruby/svn_fs.c
@@ -2125,7 +2125,7 @@ SWIG_ruby_failed(void)
}
-/*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
+/*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
SWIGINTERN VALUE SWIG_AUX_NUM2LONG(VALUE *args)
{
VALUE obj = args[0];
@@ -2170,7 +2170,7 @@ SWIG_AsVal_int (VALUE obj, int *val)
}
-/*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
+/*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
SWIGINTERN VALUE SWIG_AUX_NUM2ULONG(VALUE *args)
{
VALUE obj = args[0];
diff --git a/subversion/bindings/swig/ruby/svn_ra.c b/subversion/bindings/swig/ruby/svn_ra.c
index 533a7cc..beb58d7 100644
--- a/subversion/bindings/swig/ruby/svn_ra.c
+++ b/subversion/bindings/swig/ruby/svn_ra.c
@@ -2099,7 +2099,7 @@ SWIG_ruby_failed(void)
}
-/*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
+/*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
SWIGINTERN VALUE SWIG_AUX_NUM2LONG(VALUE *args)
{
VALUE obj = args[0];
@@ -2144,7 +2144,7 @@ SWIG_AsVal_int (VALUE obj, int *val)
}
-/*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
+/*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
SWIGINTERN VALUE SWIG_AUX_NUM2ULONG(VALUE *args)
{
VALUE obj = args[0];
diff --git a/subversion/bindings/swig/ruby/svn_repos.c b/subversion/bindings/swig/ruby/svn_repos.c
index d397e72..184af1f 100644
--- a/subversion/bindings/swig/ruby/svn_repos.c
+++ b/subversion/bindings/swig/ruby/svn_repos.c
@@ -2040,7 +2040,7 @@ SWIG_ruby_failed(void)
}
-/*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
+/*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
SWIGINTERN VALUE SWIG_AUX_NUM2LONG(VALUE *args)
{
VALUE obj = args[0];
@@ -2182,7 +2182,7 @@ SWIG_FromCharPtr(const char *cptr)
}
-/*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
+/*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
SWIGINTERN VALUE SWIG_AUX_NUM2ULONG(VALUE *args)
{
VALUE obj = args[0];
diff --git a/subversion/bindings/swig/ruby/svn_wc.c b/subversion/bindings/swig/ruby/svn_wc.c
index eb2b60b..b6da585 100644
--- a/subversion/bindings/swig/ruby/svn_wc.c
+++ b/subversion/bindings/swig/ruby/svn_wc.c
@@ -2134,7 +2134,7 @@ SWIG_ruby_failed(void)
}
-/*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
+/*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
SWIGINTERN VALUE SWIG_AUX_NUM2LONG(VALUE *args)
{
VALUE obj = args[0];
@@ -2214,7 +2214,7 @@ SWIGINTERN svn_wc_external_item2_t *svn_wc_external_item2_t_dup(struct svn_wc_ex
return svn_wc_external_item2_dup(self, pool);
}
-/*@SWIG:/opt/svnrm/1.14/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
+/*@SWIG:/opt/svnrm/prefix/share/swig/3.0.12/ruby/rubyprimtypes.swg,19,%ruby_aux_method@*/
SWIGINTERN VALUE SWIG_AUX_NUM2ULONG(VALUE *args)
{
VALUE obj = args[0];
diff --git a/subversion/bindings/swig/ruby/test/test_wc.rb b/subversion/bindings/swig/ruby/test/test_wc.rb
index 763cbf6..6548d83 100644
--- a/subversion/bindings/swig/ruby/test/test_wc.rb
+++ b/subversion/bindings/swig/ruby/test/test_wc.rb
@@ -342,11 +342,11 @@ class SvnWcTest < Test::Unit::TestCase
def test_adm_ensure
adm_dir = Dir.glob(File.join(@wc_path, "{.,_}svn")).first
- assert(File.exists?(adm_dir))
+ assert(File.exist?(adm_dir))
FileUtils.rm_rf(adm_dir)
- assert(!File.exists?(adm_dir))
+ assert(!File.exist?(adm_dir))
Svn::Wc.ensure_adm(@wc_path, @fs.uuid, @repos_uri, @repos_uri, 0)
- assert(File.exists?(adm_dir))
+ assert(File.exist?(adm_dir))
end
def test_merge
@@ -474,19 +474,19 @@ EOE
ctx.add(path)
ctx.ci(@wc_path).revision
- assert(File.exists?(path))
+ assert(File.exist?(path))
Svn::Wc::AdmAccess.open(nil, @wc_path, true, 5) do |access|
access.delete(path)
end
- assert(!File.exists?(path))
+ assert(!File.exist?(path))
ctx.revert(path)
- assert(File.exists?(path))
+ assert(File.exist?(path))
Svn::Wc::AdmAccess.open(nil, @wc_path, true, 5) do |access|
access.delete(path, nil, nil, true)
end
- assert(File.exists?(path))
+ assert(File.exist?(path))
end
end
@@ -808,9 +808,9 @@ EOE
ctx.add(path2)
rev2 = ctx.commit(@wc_path).revision
- assert(File.exists?(path2))
+ assert(File.exist?(path2))
assert_equal(0, ctx.up(@wc_path, 0))
- assert(!File.exists?(path2))
+ assert(!File.exist?(path2))
Svn::Wc::AdmAccess.open(nil, @wc_path) do |access|
editor = access.update_editor('', 0)
assert_equal(0, editor.target_revision)
@@ -848,9 +848,9 @@ EOE
ctx.add(path2)
rev2 = ctx.commit(@wc_path).revision
- assert(File.exists?(path2))
+ assert(File.exist?(path2))
assert_equal(0, ctx.up(@wc_path, 0))
- assert(!File.exists?(path2))
+ assert(!File.exist?(path2))
notification_count = 0
Svn::Wc::AdmAccess.open(nil, @wc_path) do |access|
notify_func = Proc.new {|n| notification_count += 1}
@@ -946,9 +946,9 @@ EOE
ctx.add(dir2_path)
rev2 = ctx.commit(@wc_path).revision
- assert(File.exists?(path1))
+ assert(File.exist?(path1))
assert_equal(rev2, ctx.switch(@wc_path, dir2_uri))
- assert(File.exists?(File.join(@wc_path, file2)))
+ assert(File.exist?(File.join(@wc_path, file2)))
Svn::Wc::AdmAccess.open_anchor(@wc_path) do |access, dir_access, target|
editor = dir_access.switch_editor('', dir1_uri, rev2)
assert_equal(rev2, editor.target_revision)
diff --git a/subversion/bindings/swig/ruby/test/windows_util.rb b/subversion/bindings/swig/ruby/test/windows_util.rb
index 726527a..338bf8f 100644
--- a/subversion/bindings/swig/ruby/test/windows_util.rb
+++ b/subversion/bindings/swig/ruby/test/windows_util.rb
@@ -115,7 +115,7 @@ exit 1
lines = []
gen_make_opts = File.join(@@top_dir, "gen-make.opts")
lines =
- File.read(gen_make_opts).lines.to_a if File.exists?(gen_make_opts)
+ File.read(gen_make_opts).lines.to_a if File.exist?(gen_make_opts)
config = Hash.new do |hash, key|
if /^--with-(.*)$/ =~ key
hash[key] = File.join(@@top_dir, $1)
diff --git a/subversion/bindings/swig/svn_delta.i b/subversion/bindings/swig/svn_delta.i
index 36c776b..4ce7c83 100644
--- a/subversion/bindings/swig/svn_delta.i
+++ b/subversion/bindings/swig/svn_delta.i
@@ -68,8 +68,6 @@
### There must be a cleaner way to implement this?
### Maybe follow Ruby by wrapping it where passing an editor? */
void svn_swig_py_make_editor(const svn_delta_editor_t **editor,
- void **edit_baton,
- PyObject *py_editor,
apr_pool_t *pool);
#endif
@@ -207,6 +205,49 @@ void _ops_get(int *num_ops, const svn_txdelta_op_t **ops)
#ifdef SWIGPYTHON
%pythoncode %{
+# Baton container class for editor/parse_fns3 batons and their decendants.
+class _ItemBaton:
+ def __init__(self, editor, pool, baton=None):
+ self.pool = pool if pool else libsvn.core.svn_pool_create()
+ self.baton = baton
+ self.editor = editor
+
+ def get_ancestor(self):
+ raise NotImplementedError
+
+ def make_decendant(self, pool, baton=None):
+ return _DecBaton(self, pool, baton)
+
+
+class _DecBaton(_ItemBaton):
+ def __init__(self, parent, pool, baton=None):
+ import weakref
+ _ItemBaton.__init__(self, parent.editor, pool, baton)
+ self._anc = weakref.ref(parent.get_ancestor())
+ self._anc().hold_baton(self)
+
+ def get_ancestor(self):
+ return self._anc()
+
+ def release_self(self):
+ self._anc().release_baton(self)
+
+
+class _AncBaton(_ItemBaton):
+ def __init__(self, editor, pool, baton=None):
+ _ItemBaton.__init__(self, editor, pool, baton)
+ self._dec = {} # hold decendant batons.
+
+ def get_ancestor(self):
+ return self
+
+ def hold_baton(self, baton):
+ self._dec[id(baton)] = baton
+
+ def release_baton(self, baton):
+ del self._dec[id(baton)]
+
+
# This function is for backwards compatibility only.
# Use svn_txdelta_window_t.ops instead.
svn_txdelta_window_t_ops_get = svn_txdelta_window_t._ops_get
diff --git a/subversion/bindings/swig/svn_repos.i b/subversion/bindings/swig/svn_repos.i
index 69d83eb..4b621a3 100644
--- a/subversion/bindings/swig/svn_repos.i
+++ b/subversion/bindings/swig/svn_repos.i
@@ -152,8 +152,6 @@ svn_error_t *svn_repos_dump_fs2(svn_repos_t *repos,
#ifdef SWIGPYTHON
/* Make swig wrap this function for us, to allow making a vtable in python */
void svn_swig_py_make_parse_fns3(const svn_repos_parse_fns3_t **parse_fns3,
- void **parse_baton,
- PyObject *py_parse_fns3,
apr_pool_t *pool);
#endif
diff --git a/subversion/include/svn_version.h b/subversion/include/svn_version.h
index 053a934..dc09380 100644
--- a/subversion/include/svn_version.h
+++ b/subversion/include/svn_version.h
@@ -70,7 +70,7 @@ extern "C" {
*
* @since New in 1.1.
*/
-#define SVN_VER_PATCH 2
+#define SVN_VER_PATCH 3
/** @deprecated Provided for backward compatibility with the 1.0 API. */
@@ -93,7 +93,7 @@ extern "C" {
*
* Always change this at the same time as SVN_VER_NUMTAG.
*/
-#define SVN_VER_TAG " (r1899510)"
+#define SVN_VER_TAG " (r1914484)"
/** Number tag: a string describing the version.
@@ -117,7 +117,7 @@ extern "C" {
* file version. Its value remains 0 in the repository except in release
* tags where it is the revision from which the tag was created.
*/
-#define SVN_VER_REVISION 1899510
+#define SVN_VER_REVISION 1914484
/* Version strings composed from the above definitions. */
diff --git a/subversion/libsvn_client/externals.c b/subversion/libsvn_client/externals.c
index 7ff1ed2..cc53954 100644
--- a/subversion/libsvn_client/externals.c
+++ b/subversion/libsvn_client/externals.c
@@ -917,7 +917,7 @@ handle_external_item_change(svn_client_ctx_t *ctx,
SVN_ERR(switch_file_external(local_abspath,
new_loc,
- new_url,
+ new_loc->url,
&new_item->peg_revision,
&new_item->revision,
parent_dir_abspath,
diff --git a/subversion/libsvn_diff/parse-diff.c b/subversion/libsvn_diff/parse-diff.c
index 4fc5bfd..efc3fce 100644
--- a/subversion/libsvn_diff/parse-diff.c
+++ b/subversion/libsvn_diff/parse-diff.c
@@ -1006,7 +1006,7 @@ parse_pretty_mergeinfo_line(svn_boolean_t *found_mergeinfo,
}
(*number_of_reverse_merges)--;
}
- else if (number_of_forward_merges > 0) /* forward merges */
+ else if (*number_of_forward_merges > 0) /* forward merges */
{
if (patch->reverse)
{
diff --git a/subversion/libsvn_subr/config_keys.inc b/subversion/libsvn_subr/config_keys.inc
index d9f4484..498e704 100644
--- a/subversion/libsvn_subr/config_keys.inc
+++ b/subversion/libsvn_subr/config_keys.inc
@@ -1,4 +1,4 @@
-/* Automatically generated by build/generator/gen_base.pyc:write_config_keys() */
+/* Automatically generated by build/generator/gen_base.py:write_config_keys() */
static const char *svn__valid_config_files[] = {
SVN_CONFIG_CATEGORY_SERVERS,
diff --git a/subversion/libsvn_subr/version.c b/subversion/libsvn_subr/version.c
index 9e6fab6..261126a 100644
--- a/subversion/libsvn_subr/version.c
+++ b/subversion/libsvn_subr/version.c
@@ -143,7 +143,7 @@ svn_version_extended(svn_boolean_t verbose,
info->build_time = __TIME__;
info->build_host = SVN_BUILD_HOST;
info->copyright = apr_pstrdup
- (pool, _("Copyright (C) 2022 The Apache Software Foundation.\n"
+ (pool, _("Copyright (C) 2023 The Apache Software Foundation.\n"
"This software consists of contributions made by many people;\n"
"see the NOTICE file for more information.\n"
"Subversion is open source software, see "
diff --git a/subversion/libsvn_wc/deprecated.c b/subversion/libsvn_wc/deprecated.c
index 379564b..490ed72 100644
--- a/subversion/libsvn_wc/deprecated.c
+++ b/subversion/libsvn_wc/deprecated.c
@@ -2799,6 +2799,7 @@ svn_wc__status2_from_3(svn_wc_status2_t **status,
}
(*status)->entry = entry;
+ (*status)->locked = old_status->locked;
(*status)->copied = old_status->copied;
(*status)->repos_lock = svn_lock_dup(old_status->repos_lock, result_pool);
diff --git a/subversion/po/subversion.pot b/subversion/po/subversion.pot
index 5872ba7..bba8689 100644
--- a/subversion/po/subversion.pot
+++ b/subversion/po/subversion.pot
@@ -8,7 +8,7 @@ msgid ""
msgstr ""
"Project-Id-Version: PACKAGE VERSION\n"
"Report-Msgid-Bugs-To: dev@subversion.apache.org\n"
-"POT-Creation-Date: 2022-04-02 11:38+0000\n"
+"POT-Creation-Date: 2023-12-09 13:26+0000\n"
"PO-Revision-Date: YEAR-MO-DA HO:MI+ZONE\n"
"Last-Translator: FULL NAME <EMAIL@ADDRESS>\n"
"Language-Team: LANGUAGE <LL@li.org>\n"
@@ -3769,7 +3769,7 @@ msgstr ""
msgid "No commits in repository"
msgstr ""
-#: ../libsvn_client/deprecated.c:3206 ../libsvn_wc/deprecated.c:4228
+#: ../libsvn_client/deprecated.c:3206 ../libsvn_wc/deprecated.c:4229
msgid "Non-recursive relocation not supported"
msgstr ""
@@ -10211,7 +10211,7 @@ msgstr ""
#: ../libsvn_subr/version.c:146
msgid ""
-"Copyright (C) 2022 The Apache Software Foundation.\n"
+"Copyright (C) 2023 The Apache Software Foundation.\n"
"This software consists of contributions made by many people;\n"
"see the NOTICE file for more information.\n"
"Subversion is open source software, see http://subversion.apache.org/\n"
diff --git a/subversion/tests/cmdline/log_tests.py b/subversion/tests/cmdline/log_tests.py
index 559dfb5..8e66f09 100755
--- a/subversion/tests/cmdline/log_tests.py
+++ b/subversion/tests/cmdline/log_tests.py
@@ -2779,6 +2779,42 @@ def log_on_deleted_deep(sbox):
'',
'-q', '-c', '1-2')
+@XFail()
+@Issue(4711)
+def log_with_merge_history_and_search(sbox):
+ "log --use-merge-history --search"
+
+ sbox.build()
+
+ # r2: create branch
+ sbox.simple_repo_copy('A', 'A2') # r2
+
+ # r3: mod in trunk
+ sbox.simple_append('A/mu', 'line 2')
+ sbox.simple_commit(message='r3: mod')
+ sbox.simple_update()
+
+ # r4: merge
+ svntest.main.run_svn(None, 'merge', sbox.repo_url + '/A', sbox.ospath('A2'))
+ sbox.simple_commit(message='r4: merge')
+ sbox.simple_update()
+
+ # Helper function
+ def count(haystack, needle):
+ """Return the number of times the string NEEDLE occurs in the string
+ HAYSTACK."""
+ return len(haystack.split(needle)) - 1
+
+ # Check the output is valid
+ # ### Since the test is currently XFail, we only smoke test the output.
+ # ### When fixing this test to PASS, extend this validation.
+ _, output, _ = svntest.main.run_svn(None, 'log', '--xml', '-g',
+ '--search', "this will have no matches",
+ sbox.ospath('A2'))
+
+ output = '\n'.join(output)
+ if count(output, "<logentry") != count(output, "</logentry"):
+ raise svntest.Failure("Apparently invalid XML in " + repr(output))
########################################################################
# Run the tests
@@ -2830,6 +2866,7 @@ test_list = [ None,
merge_sensitive_log_xml_reverse_merges,
log_revision_move_copy,
log_on_deleted_deep,
+ log_with_merge_history_and_search,
]
if __name__ == '__main__':
diff --git a/subversion/tests/cmdline/svntest/main.py b/subversion/tests/cmdline/svntest/main.py
index 7a937ea..54f7bf2 100644
--- a/subversion/tests/cmdline/svntest/main.py
+++ b/subversion/tests/cmdline/svntest/main.py
@@ -1360,7 +1360,7 @@ def write_restrictive_svnserve_conf(repo_dir, anon_access="none",
fp.write("groups-db = groups\n")
if options.enable_sasl:
fp.write("realm = svntest\n"
- "[sasl]\n",
+ "[sasl]\n"
"use-sasl = true\n");
else:
fp.write("password-db = passwd\n")