summaryrefslogtreecommitdiff
path: root/examples/cxx-api/demomain.cc
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-10-13 15:40:21 +0200
committerClifford Wolf <clifford@clifford.at>2015-10-13 15:41:20 +0200
commitf42218682d2c7caa6caa81cb2ca48f0c3f62bb5b (patch)
treeeed220c7c84c673dec27bca4c2e96d919831f8b7 /examples/cxx-api/demomain.cc
parentf13e3873212fb4338ee3dd180cb9b0cd3d134935 (diff)
Added examples/ top-level directory
Diffstat (limited to 'examples/cxx-api/demomain.cc')
-rw-r--r--examples/cxx-api/demomain.cc22
1 files changed, 22 insertions, 0 deletions
diff --git a/examples/cxx-api/demomain.cc b/examples/cxx-api/demomain.cc
new file mode 100644
index 00000000..a6459330
--- /dev/null
+++ b/examples/cxx-api/demomain.cc
@@ -0,0 +1,22 @@
+// Note: Set ENABLE_LIBYOSYS=1 in Makefile or Makefile.conf to build libyosys.so
+// yosys-config --exec --cxx -o demomain --cxxflags --ldflags demomain.cc -lyosys -lstdc++
+
+#include <kernel/yosys.h>
+
+int main()
+{
+ Yosys::log_streams.push_back(&std::cout);
+ Yosys::log_error_stderr = true;
+
+ Yosys::yosys_setup();
+ Yosys::yosys_banner();
+
+ Yosys::run_pass("read_verilog example.v");
+ Yosys::run_pass("synth -noabc");
+ Yosys::run_pass("clean -purge");
+ Yosys::run_pass("write_blif example.blif");
+
+ Yosys::yosys_shutdown();
+ return 0;
+}
+